32位高速計數(shù)器的設(shè)計畢業(yè)論文_第1頁
32位高速計數(shù)器的設(shè)計畢業(yè)論文_第2頁
32位高速計數(shù)器的設(shè)計畢業(yè)論文_第3頁
32位高速計數(shù)器的設(shè)計畢業(yè)論文_第4頁
32位高速計數(shù)器的設(shè)計畢業(yè)論文_第5頁
已閱讀5頁,還剩33頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、 畢業(yè)設(shè)計報告(論文) 報告(論文)題目:32 位高速計數(shù)器的設(shè)計 作者所在系部: 電子工程系 作者所在專業(yè): 電子工藝與管理 作者所在班級: 作 者 姓 名 : 作 者 學(xué) 號 : 指導(dǎo)教師姓名: 完 成 時 間 : 2011 年 6 月 10 日 畢業(yè)設(shè)計(論文)任務(wù)書 姓姓 名:名: 專專 業(yè):業(yè): 電子工藝與管 理 班班 級:級: 253 學(xué)號:學(xué)號: 指導(dǎo)教師:指導(dǎo)教師: 職職 稱:稱: 完成時間:完成時間:2010 年 6 月 10 日 畢業(yè)設(shè)計(論文)題目:畢業(yè)設(shè)計(論文)題目: 32 位高速計數(shù)器的設(shè)計 設(shè)計目標(biāo):設(shè)計目標(biāo): 了解一些計數(shù)器的應(yīng)用及其性能特點;掌握 32 位高速

2、計數(shù)器的芯片的設(shè)計過程 技術(shù)要求:技術(shù)要求: 1 當(dāng)一個脈沖到來時32位計數(shù)器顯示1;相鄰特性曲線的間隔相同。 2 脈沖不斷地到來32位計數(shù)器不斷地加1 3 當(dāng)32位計數(shù)器加到f時,向高位進(jìn)1; 4. 32位計數(shù)器的范圍為0ffffffff; 所需儀器設(shè)備:所需儀器設(shè)備: 計算機(jī)、maxplus2 軟件、eda 實驗開發(fā)系統(tǒng) 成果驗收形式:成果驗收形式: 論文 參考文獻(xiàn):參考文獻(xiàn): 可編程器件 eda 技術(shù)與實踐相關(guān)文獻(xiàn) 1 5 周-6 周立題論證 3 9 周-13 周仿真調(diào)試 時間時間 安排安排 2 7 周-8 周方案設(shè)計 4 14 周-16 周成果驗收 指導(dǎo)教師指導(dǎo)教師: 教研室主任教研室

3、主任: 系主任系主任: 摘 要 論文的研究工作是以 32 位高速計數(shù)器程序設(shè)計為題展開的,通過熟練運(yùn)用 eda 技 術(shù)設(shè)計 32 位高速計數(shù)器程序,并通過仿真檢驗程序的正確性。而且詳細(xì)介紹現(xiàn)階段的一 些技術(shù)器及其參數(shù)、性能特點,了解現(xiàn)階段計數(shù)器的發(fā)展水平,通過這些計數(shù)器在現(xiàn)實 生活的各個領(lǐng)域扮演的角色,體會計數(shù)器在生活中的重要性。 關(guān)鍵詞 計數(shù)器 dea 仿真檢驗 目 錄 第 1 章 計數(shù)器簡介.1 1.1 計數(shù)器 .1 第 2 章 計數(shù)器的應(yīng)用及其性能特點.3 2.1 零點袋裝水泥計數(shù)器 .3 2.2 zg30 菌落計數(shù)器.3 2.3 閃爍計數(shù)器 .4 2.4 jsyf 系列放電計數(shù)器.4

4、2.5 實時碳計數(shù)器 .5 2.6 zt-js01a 型智能產(chǎn)品計數(shù)器.5 2.7 零件計數(shù)器 .6 2.8 顆粒計數(shù)器 .6 2.9 頻率計數(shù)器 .6 2.10 紅外人流計數(shù)器 .7 2.11 蓋革計數(shù)器 .7 2.12 免疫計數(shù)器.7 2.13 顯示條形碼計數(shù)器 .8 2.14 塵埃計數(shù)器 .8 2.15 細(xì)胞計數(shù)器 .8 2.16 智能計數(shù)器 .9 2.17 空氣離子濃度計算器 .9 2.18 loadrunner監(jiān)視的性能計數(shù)器 .9 2.19 小結(jié) .12 第 3 章 32 位高速計數(shù)器 .13 3.1 32 位計數(shù)器程序.13 3.1.1 頂層文件設(shè)計 .13 3.1.2 cnt_

5、ffffffff(32 位計數(shù)器).14 3.1.3 sel(選擇器) .15 3.1.4 deled(譯碼器) .16 3.2 仿真圖 .18 3.2.1 頂層仿真圖 .18 3.2.2 32 位計數(shù)器(cnt_ffffffff)仿真圖.19 3.2.3 sel(選擇器)仿真圖 .21 3.2.4 譯碼器(deled)仿真圖 .22 第 4 章 結(jié)論.23 致謝.24 參考文獻(xiàn).25 附錄.26 第 1 章 計數(shù)器簡介 1.1 計數(shù)器 計數(shù)器是一種具有多種測量功能、多種用途的電子計數(shù)器。它可以測量頻率、周 期、時間間隔、頻率比、累加計數(shù)、計時等;配上相應(yīng)的插件,還可以測量相位、電壓 等。一般

6、我們把凡具有測頻和測周兩種以上功能的計數(shù)器都?xì)w類為通用計數(shù)器。 計數(shù)器的主要性能: 1. 測試功能 電子計數(shù)器所具備的測試功能一般包括測量頻率、周期、 頻率比、時間間隔、累加 計數(shù)和自校等。 2. 測量范圍 電子計數(shù)器的有效測量范圍是相對于測量功能而言的, 不同的測量功能其測量范圍 的含義也不同。如測量頻率時是指頻率的上、下限;測量周期時是指周期時間單位)的 最大、最小值。 3. 輸入特性 一般情況下,當(dāng)儀器有23個輸入通道時,需分別給出各個通道的特性,主要有: 3.1 輸入靈敏度:指儀器正常工作所需輸入的最小電壓。 3.2 輸入耦合方式:主要有 ac 交流)耦合和 dc 直流)耦合兩種。ac

7、 耦合時,被 測信號經(jīng)隔直電容輸入;dc 耦合時, 被測信號直接輸入,在低頻及脈沖信號輸入時宜 采用這種耦合 3.3 輸入阻抗:包括輸入電阻和輸入電容,并有高阻抗例如1 m/25 pf)和低阻抗 例如50 )之分。前者多用于頻率不太高的場合,以減小對信號源的負(fù)載影響; 后者 多用于頻率較高的場合,以滿足匹配要求。 3.4 最大輸入電壓:允許的最大輸入電壓。 超過最大輸入電壓后,儀器不能保證正 常工作, 甚至?xí)粨p壞。 4. 測量準(zhǔn)確度 測量準(zhǔn)確度常用測量誤差來表示,主要由時基誤差和計數(shù)誤差決定。時基誤差由晶 體振蕩器的穩(wěn)定度確定,電子計數(shù)器通常給出晶體振蕩器的標(biāo)準(zhǔn)頻率及其頻率穩(wěn)定度; 計數(shù)誤差

8、主要指量化誤差。 5. 閘門時間和時標(biāo) 由儀器內(nèi)部標(biāo)準(zhǔn)時間信號源提供的標(biāo)準(zhǔn)時間信號包括閘門時間信號和時標(biāo)信號,可 以有多種選擇。 6. 輸出 7. 這里指的是儀器可輸出的標(biāo)準(zhǔn)時間頻率)信號的種類、 輸出數(shù)據(jù)的編碼方式及輸出 電平的高低等。 計數(shù)器的構(gòu)成: 1. a、 b 輸入通道 輸入通道的作用是將被測信號進(jìn)行放大、整形,使其變換為標(biāo)準(zhǔn)脈沖。輸入通道部 分包括 a、b 兩個通道,它們均由衰減器、 放大器和整形電路等組成。凡是需要計數(shù)的 外加信號(例如測頻信號) ,均由 a 輸入通道輸入,經(jīng)過 a 通道適當(dāng)?shù)乃p、放大整形 之后,變成符合主門要求的脈沖信號。而 b 輸入通道的輸出與一個門控雙穩(wěn)相

9、連,如果 需要測量周期,則被測信號就要經(jīng)過 b 輸入通道輸入,作為門控雙穩(wěn)的觸發(fā)信號。 門又稱閘門,它是用于實現(xiàn)量化的比較電路,它可以控制計數(shù)脈沖信號能否進(jìn)入計 數(shù)器。 主門電路是一個雙輸入端邏輯與門。它的一個輸入端接受來自控制單元中門控雙穩(wěn) 態(tài)觸發(fā)器的門控信號, 另一個輸入端則接受計數(shù)(脈沖)信號。在門控信號作用有效期間, 允許計數(shù)(脈沖)通過主門進(jìn)入計數(shù)器計數(shù)。 2. 計數(shù)、 顯示單元 計數(shù)與顯示電路是用于對來自主門的脈沖信號進(jìn)行計數(shù), 并將計數(shù)的結(jié)果以數(shù)字的 形式顯示出來。為了便于讀數(shù),計數(shù)器通常采用十進(jìn)制計數(shù)電路。 帶有微處理器的儀器 也可用二進(jìn)制計數(shù)器計數(shù),然后轉(zhuǎn)換成十進(jìn)制并譯碼后再

10、進(jìn)入顯示器。 3. 時基單元 時基電路主要由晶體振蕩器、分頻及倍頻器組成。 時基電路主要用于產(chǎn)生各種標(biāo)準(zhǔn)時間信號。標(biāo)準(zhǔn)時間信號有兩類,一類時間較長的 稱為閘門(時間)信號,通常根據(jù)分頻級數(shù)的不同有多種選擇; 另一類時間較短的稱為 時標(biāo)信號。時標(biāo)信號可以是單一的, 也可以有多種選擇。 4. 控制單元 控制電路的作用是產(chǎn)生門控信號(q) 、寄存信號(m)和復(fù)零信號(r)三種控制 信號,使儀器的各部分電路按照準(zhǔn)備測量顯示的流程有條不紊地自動進(jìn)行測量工作。 控制單元中包括前述的門控雙穩(wěn)態(tài)電路,它輸出的門控信號用于控制主門的開閉, 在觸發(fā)脈沖作用下雙穩(wěn)態(tài)電路發(fā)生翻轉(zhuǎn)。通常以一個輸入脈沖開啟主門,另一路輸

11、入脈 沖信號使門控雙穩(wěn)復(fù)原,關(guān)閉主門。 計數(shù)器的誤差來源:1. 量化誤差;2. 觸發(fā)誤差;3. 準(zhǔn)頻率誤差1。 第2章 計數(shù)器的應(yīng)用及其性能特點 2.1 零點袋裝水泥計數(shù)器 零點袋裝水泥計數(shù)器是根據(jù)水泥廠惡劣環(huán)境研發(fā)及生產(chǎn)袋裝水泥計數(shù)器。水泥包裝 車間是企業(yè)與客戶對接的窗口,精確的發(fā)貨數(shù)據(jù)有利于銷售管理和企業(yè)品牌、形象的提 升,傳統(tǒng)的計數(shù)方式已經(jīng)不再適合水泥高速生產(chǎn)的需求。由于水泥在皮帶傳輸過程中偶 爾會出現(xiàn)連包,小疊包的現(xiàn)象,傳統(tǒng)的計數(shù)器已無法滿足水泥廠的需求,針對此問題, 研制了零點袋裝水泥計數(shù)器。 零點袋裝水泥計數(shù)器性能: 1.集中管理,易于使用、便于監(jiān)控,更人性化 2.水泥廠專用,計數(shù)

12、精確、快速,經(jīng)久耐用 3.可單包計數(shù)、連包計數(shù)、批量計數(shù) 4.采用“雙通道帶速補(bǔ)償法”可智能分辨連包 5.采用“不規(guī)則水泥連包補(bǔ)償法”可智能分辨小疊包 6.同裝車機(jī)連鎖,單車到包后,裝車機(jī)/包裝機(jī)自動停機(jī) 7.光電信號隔離,抗干擾性強(qiáng) 8.斷電存儲功能,防止數(shù)據(jù)丟失 9.美觀大方,可外接多個大屏幕顯示屏 10.單包計數(shù):可自動吸收水泥袋上和皮帶上的干擾脈沖達(dá)到準(zhǔn)確計數(shù)。 11.連包計數(shù):設(shè)定單包的正常參數(shù),連包時可根據(jù)單包的正常參數(shù)智能識別出連包 數(shù)。 12.批量計數(shù):可設(shè)定并顯示單包數(shù)(0-60000) ,達(dá)到設(shè)定值時,計數(shù)器輸出閃爍報 警信號“al”并輸出一組開關(guān)控制信號(5a) 。 13

13、.設(shè)定值到達(dá)時計數(shù)器自動清零或手動清零:可按需要輸入密碼設(shè)定。 14.批量設(shè)定值到達(dá)提前報警:提前包數(shù)可按需要輸入密碼設(shè)定。 15.總數(shù)累計:可查看計數(shù)總數(shù)(0-9999999) (輸入密碼可清零) 。 16.故障報警:當(dāng)計數(shù)器檢測到感應(yīng)頭狀態(tài)異常,或連包超過10包以上輸出一組繼電 器開關(guān)信號報警(5a) ,該開關(guān)可接報警鈴或停皮帶。 17.自動控制:繼電器開關(guān)信號可直接與包裝線的二次線路實現(xiàn)自動控制。 (如:控 制包裝機(jī)停止,皮帶停止,推包機(jī)開合,裝車機(jī)停止,連接報警鈴等)2。 2.2 zg30菌落計數(shù)器 zg30菌落計數(shù)器使用方便,對用戶來說最大的好處就是:再也不會認(rèn)為計算微生物 的數(shù)目是

14、一件非常費(fèi)力,危險的工作。原因在于:該計數(shù)器用來放置培養(yǎng)皿的表面是個 壓敏元件,當(dāng)用特制的筆在表面作標(biāo)記時將自動啟動計數(shù)功能,用戶可調(diào)節(jié)表面對壓力 的靈敏度以滿足不同用戶的操作要求。 智能計數(shù)技術(shù) bzg30具有回聲檢測信號,可以自動補(bǔ)償不同培養(yǎng)皿重量的影響,壓力傳感器遍布整 個表面,且每點的靈敏度保持一致;儀器另有零點電位保護(hù)功能,可防止交流電短路的 影響。 2.3 閃爍計數(shù)器 利用射線引起閃爍體的發(fā)光而進(jìn)行記錄的輻射探測器 。1947年由 j.w. 科爾特曼和 h.p.卡爾曼所發(fā)明 。它由閃爍體、光電倍增管(見光電管)和電子儀器等單元組成。射線 同閃爍體相互作用,使其中的原子、分子電離或激

15、發(fā),被激發(fā)的原子、分子退激時發(fā)出 微弱熒光(見固體發(fā)光),熒光被收集到光電倍增管,倍增的電子流形成電壓脈沖,由電 子儀器放大分析和記錄 。可用的閃爍體種類很多 ,用得較多的有 nai(加微量 tl)、 csi(加微量 tl)、zns(加微量 ag )等無機(jī)鹽晶體和蒽、茋、對聯(lián)三苯等有機(jī)晶體,也有 用液體、塑料或氣體的閃爍體。閃爍計數(shù)器的優(yōu)點是效率高,有很好的時間分辨率和空 間分辨率,時間分辨率達(dá)10-9秒 ,空間分辨率達(dá)毫米量級。它不僅能探測各種帶電粒子, 還能探測各種不帶電的核輻射;不僅能探測核輻射是否存在,還能鑒別它們的性質(zhì)和種 類;不但能計數(shù),還能根據(jù)脈沖幅度確定輻射粒子的能量。在核物理

16、和粒子物理實驗中 應(yīng)用十分廣泛。 2.4 jsyf 系列放電計數(shù)器 1. 用途、特點 放電計數(shù)器是串聯(lián)工作在避雷器下面用來記錄避雷器動作次數(shù)的一種裝置。jsyf9 系列氧化鋅放電計數(shù)器適用于 220kv 及以下電壓等級的各型氧化鋅避雷器配套,使用的 環(huán)境條件與相連接的避雷器相同。氧化鋅放電計數(shù)器具有以下特點和用途: 1. jsyf9 型、jsyf9-s 型放電計數(shù)器系按氧化鋅避雷器的動作特性設(shè)計生產(chǎn)的,采 用大直徑氧化鋅閥片,具有通流容量大、殘壓低、適應(yīng)電壓等級范圍寬、動作計數(shù)準(zhǔn)確 可靠,下限動作電流靈敏度高。 2. jsyf 系列采用寬大視窗,觀察記錄數(shù)米以外一目了然。jsyf9 型采用單指

17、針十位 數(shù)循環(huán)計數(shù)指示。專利產(chǎn)品 jsyf9-s 型采用雙指針電磁計數(shù)裝置做計數(shù)指示,具備有計 數(shù)進(jìn)位功能,可連續(xù)計數(shù) 100 次后再進(jìn)入下一循環(huán)計數(shù)周期,適合于避雷器動作頻繁地 區(qū)和無人值班場所使用。 3. jsyf9 型、jsyf9-s 型放電計數(shù)器采用全不銹鋼外殼、接線端子、安裝件,耐腐 蝕劑、拆裝方便,保證較長使用年限。 本公司生產(chǎn)的 jsyf 系列氧化鋅放電計數(shù)器適用于以下的環(huán)境條件: 1. 海拔高度不超過 2000 米; 2. 環(huán)境溫度為:-40+50; 3. 不適用于嚴(yán)重腐蝕金屬和絕緣件的氣休環(huán)境和嚴(yán)重污穢、劇烈振動的地方。 2. 結(jié)構(gòu)和性能 放電計數(shù)器主要由不銹鋼外殼、絕緣瓷套

18、、密封墊及氧化鋅閥片、整流器、單/雙指 針電磁計數(shù)器等組成3。 2.5 實時碳計數(shù)器 實時碳計數(shù)器,這是一個近70英尺高的數(shù)字公告牌,用以顯示大氣中溫室氣體的累 計總量。計數(shù)器位于紐約市中心第33大街和第7大道交界處,麥迪遜廣場花園和賓夕法尼 亞火車站之間,目前已開始運(yùn)行。 碳計數(shù)器由德意志銀行氣候投資顧問團(tuán)隊(dbcca)、德意志銀行資產(chǎn)管理部的機(jī)構(gòu) 氣候變化投資與研究部門贊助,旨在提升全球的氣候變化意識,加強(qiáng)環(huán)境教育。 “信息催 生行動”,這一理念是碳計數(shù)器誕生的原因。碳計數(shù)器上的“數(shù)字”以麻省理工學(xué)院(mit) 的科學(xué)家們開發(fā)的測量手段為依據(jù),涵蓋了京都議定書和蒙特利爾議定書涉及 的全部

19、溫室氣體(24種氣體,不包括臭氧和空氣懸浮物) 。 當(dāng)前,碳計數(shù)器所顯示的大氣中溫室氣體數(shù)量為3.64萬億公噸,以每月約20億公噸 的速度增加。根據(jù)科學(xué)家們不斷推演的共識,如果這一趨勢持續(xù)下去,一系列宏觀氣候 變化將越來越有可能開始一輪自我持續(xù)的、迅速的氣候變化周期。 “大氣中的二氧化碳含量已達(dá)到80萬年來的最高點, ”帕克稱。 “我們看不到溫室氣體, 所以人們很容易忘記溫室氣體在迅速累積這一事實??刂坪萌虻臍怏w排放是個巨大的 任務(wù)。讓公眾隨時了解關(guān)注這一數(shù)據(jù)能推動各國政府以及市場力量更快地轉(zhuǎn)向低碳經(jīng)濟(jì)。 實時碳計數(shù)器數(shù)據(jù)顯示,我們必須立刻緩解碳排放,否則氣溫將不斷升高,對經(jīng)濟(jì)和社 會造成更

20、嚴(yán)重破壞4。 ” 2.6 zt-js01a 型智能產(chǎn)品計數(shù)器 zt-js01a 型智能產(chǎn)品計數(shù)器是一款適用于用傳送帶運(yùn)輸?shù)拇b產(chǎn)品計數(shù)的高科技儀 器,集光電技術(shù)、單片機(jī)技術(shù)、hmi 技術(shù)和通訊技術(shù)于一體的智能型設(shè)備。它獨(dú)有的智 能疊包、連包判斷補(bǔ)償算法,有效地解決了傳統(tǒng)脈沖計數(shù)裝置的誤差大、自動化程度低 等問題。zt-js01a 型智能產(chǎn)品計數(shù)器集成度高、安裝簡便、性能穩(wěn)定、計數(shù)精度高,廣 泛用于碼頭、大型倉儲、水泥廠、化肥廠等批量裝車、裝船(轉(zhuǎn)運(yùn))場合,大大提高了 轉(zhuǎn)運(yùn)作業(yè)管理水平。 性能特點: 1. 有效解決疊包、連包計數(shù)誤差大的問題; 2. 自動識別并屏蔽干擾信號(如皮帶上過的石頭、手套

21、、飛蛾等) ,保證計數(shù)精確; 3. 獨(dú)有的光電傳感器防粉塵專利技術(shù),真正解決了因粉塵多而影響計數(shù)問題; 4. 計數(shù)數(shù)據(jù)可以輕松嵌入視頻監(jiān)控系統(tǒng);實現(xiàn)計數(shù)、監(jiān)控一體化; 5. 自動適應(yīng)不同規(guī)格的產(chǎn)品,無須設(shè)定; 6. 安裝簡便,即安即用,上電自檢,免調(diào)試; 7. 內(nèi)置蜂鳴器,計數(shù)過程有蜂鳴提示; 8. 預(yù)警、聯(lián)鎖功能,或與傳送帶、包裝機(jī)等聯(lián)動; 9. 帶有 rs485通訊接口,可外接打印機(jī)、大屏幕顯示屏、計算機(jī)等; 10. 掉電保持,上電繼續(xù)計數(shù); 11. 內(nèi)置萬年歷,計數(shù)數(shù)據(jù)有日期標(biāo)識; 12. 自動保持歷史計數(shù)數(shù)據(jù),可隨時查詢; 13. 具有 ic 卡發(fā)貨功能 ; 14. 計數(shù)器主機(jī)本地有液

22、晶顯示。 2.7 零件計數(shù)器 很多生產(chǎn)零部件的一些廠家,一般都進(jìn)行計件生產(chǎn),大量產(chǎn)品的計件是非常耗時的 一件工作,但是有了零件計數(shù)器,它就方便多了,省去了大量的人力資源。與傳統(tǒng)的生 產(chǎn)方式相比,零件計數(shù)器的應(yīng)用是一個很大的突破。隨著零件計數(shù)器的應(yīng)用,又涌現(xiàn)了 一大批類似產(chǎn)品,像 smd 零件計數(shù)器、蓋革計數(shù)器、淘寶計數(shù)器、三角梨計數(shù)器、免費(fèi) 計數(shù)器、全自動零件計數(shù)器、零件散裝計數(shù)器、網(wǎng)站計數(shù)器等等。種類不多,功能相似, 都不同程度上給工作帶來了方便。相信以后的工業(yè)生產(chǎn)中,零件計數(shù)器一定會一直扮演 著重要的角色5。 2.8 顆粒計數(shù)器 顆粒計數(shù)器是用來檢測油液中各種微粒的尺寸和多少,主要應(yīng)用于油

23、液分析,顆粒 已經(jīng)形成了一門學(xué)問,廣泛用于航空、航天、航海、電力、石油、化工、交通、港口、 冶金、機(jī)械、汽車、制造等領(lǐng)域,對各類油液進(jìn)行固體顆粒污染度檢測。 2.9 頻率計數(shù)器 頻率計數(shù)器功能是根據(jù)其應(yīng)用來設(shè)計的。頻率計數(shù)器最常見的應(yīng)用是確定發(fā)射機(jī)和 接收機(jī)的特性。發(fā)射機(jī)的頻率必須進(jìn)行檢驗和校準(zhǔn),才能符合有關(guān)規(guī)章制度的要求。頻 率計數(shù)器能對輸出頻率和一些關(guān)鍵的內(nèi)部頻率點(如本振)進(jìn)行測量,查明無線電發(fā)射時 候是否滿足技術(shù)指標(biāo)。 頻率計數(shù)器的另一些應(yīng)用包括計算機(jī)領(lǐng)域,在此領(lǐng)域中的數(shù)據(jù)通信、微處理器和顯 示器中都使用了高性能時鐘。對性能要求不高的應(yīng)用領(lǐng)域包括對機(jī)電產(chǎn)品進(jìn)行測量。頻 率計數(shù)器的早期應(yīng)

24、用之一是作為信號發(fā)生器的一部分。在信號發(fā)生器信號輸出之前,先 通過頻率計數(shù)器部件測量該信號,測量到的結(jié)果被轉(zhuǎn)換為模擬信號用于反饋控制信號發(fā) 生器的頻率,直到達(dá)到所需要的數(shù)值,從而能得到穩(wěn)定的信號輸出。目前,很多信號發(fā) 生器中都集成了頻率計數(shù)器的簡單功能。例如 oi1842 信號發(fā)生器也集成了測量范圍為 0.1hz50mhz 的頻率計功能。 2.10 紅外人流計數(shù)器 irisys 生產(chǎn)的紅外人流計數(shù)器根據(jù)人體熱曲線,對人員流量、流向進(jìn)行精確探測和分 析。 服務(wù)于零售行業(yè)智能監(jiān)測:精確人員統(tǒng)計。 人流計數(shù)器能精確統(tǒng)計顧客的數(shù)量和流向,對零售業(yè)的管理起著至關(guān)重要的作用。 各零售場所可以根據(jù)其分析結(jié)果

25、進(jìn)行正確的人流調(diào)配,也可以通過比較銷售數(shù)據(jù)來對比 監(jiān)控各賣場分店的銷售情況。 該產(chǎn)品特別適用于以顧客為中心的行業(yè),比如交通管理,各大休閑場所及酒店。以 本公司先進(jìn)的傳感技術(shù)為依托,以智能人流監(jiān)測系統(tǒng)為核心,irisys 生產(chǎn)的紅外線人流計 數(shù)器,已經(jīng)服務(wù)了世界眾多的大型超市、商場、劇院、博物館和交通樞紐。 做為一款優(yōu)秀的監(jiān)控產(chǎn)品,本產(chǎn)品采用非介入式的設(shè)計理念,產(chǎn)品使用不受環(huán)境溫度和 光線的影響,具有明顯的技術(shù)優(yōu)勢6。 2.11 蓋革計數(shù)器 中文名稱:蓋革-米勒計數(shù)器 英文名稱:geiger-m 黮 lercounter;gmcounter 其他名稱: 蓋革計數(shù)器定義:一種專門探測電離輻射( 粒

26、子、 粒子、 射線)強(qiáng)度的記數(shù)儀器。由 充氣的管或小室作探頭,當(dāng)向探頭施加的電壓達(dá)到一定范圍時,射線在管內(nèi)每電離產(chǎn)生 一對離子,就能放大產(chǎn)生一個相同大小的電脈沖并被相連的電子裝置所記錄,由此測量 得單位時間內(nèi)的射線數(shù)。 2.12 免疫計數(shù)器 免疫計數(shù)器是放射免疫分析技術(shù)的基本工具,其中用于測量碘標(biāo)記藥盒的 免疫 計數(shù)器的應(yīng)用最為廣泛。經(jīng)過幾十年的發(fā)展, 免疫計數(shù)器有了一系列成熟的產(chǎn)品。用 計算機(jī)控制具有自動換樣、數(shù)據(jù)在線自動處理能力的 免疫計數(shù)器大量應(yīng)用于臨床。 2.13 顯示條形碼計數(shù)器 顯示條形碼計數(shù)器是國家實用新型專利產(chǎn)品,具有普通電子計數(shù)器的常見功能,它 是一種和條碼技術(shù)兼容的實時顯示

27、條碼計數(shù)器。該裝置克服了現(xiàn)有技術(shù)的不足,提供了 一種減少機(jī)械傳動機(jī)構(gòu)、以實時方式顯示的條碼計數(shù)器。它包括殼體、面框、二-十進(jìn)制 計數(shù)電路、譯碼電路、驅(qū)動電路及顯示器件等,特別之處是顯示器件由若干段可按條碼 字符信息規(guī)律顯示的液晶模塊緊密構(gòu)成,接于驅(qū)動電路的輸出端。 該計數(shù)器有著下列明顯優(yōu)點: 1.以液晶模塊及數(shù)字電路替代傳統(tǒng)的機(jī)械傳動計數(shù)機(jī)構(gòu),結(jié)構(gòu)簡單、計數(shù)準(zhǔn)確、可 靠耐用。 2.避免了機(jī)械傳動的繁多構(gòu)件,且實現(xiàn)了實時顯示。 3.電表、煤氣表、水表等用上該條碼計數(shù)器,由條碼閱讀器采集數(shù)據(jù),大大減輕抄 表的勞動強(qiáng)度和減少錯漏的可能性,提高了工作效率。 4.數(shù)據(jù)處理快捷,實現(xiàn)無紙抄表、數(shù)據(jù)儲存處理

28、。用條碼閱讀器采集數(shù)據(jù)后暫時儲 存在條碼盤點機(jī)里,然后可以把盤點機(jī)的數(shù)據(jù)傳送到電腦數(shù)據(jù)庫進(jìn)行綜合處理。 5.造價比較低,容易被廣大用戶接受,有利于推廣,是一種很有前途的抄表系統(tǒng), 有利于開展抄表到戶的工作7。 2.14 塵埃計數(shù)器 塵埃計數(shù)器是用來測量空氣中微粒的數(shù)量及大小的儀器,從而為空氣潔凈度的評定 提供依據(jù)。常見的塵埃計數(shù)器是光散射式 (dapc)的,測量粒徑范圍 0110tm,此 外還有凝聚核式的塵埃粒子計數(shù)器 cnc),可測量尺寸更小的塵埃粒子。 2.15 細(xì)胞計數(shù)器 1.應(yīng)用于骨髓細(xì)胞分類計數(shù) 能對人體40余種骨髓細(xì)胞分類計數(shù)、分析,當(dāng)計數(shù)到預(yù)定總數(shù)時,會發(fā)出信號,并 自動分析出完

29、整的各項指標(biāo),其中有細(xì)胞總計數(shù)、各種細(xì)胞個數(shù)、百分率、白紅比例、 粒紅比例等,并能對主要指標(biāo)自動循環(huán)顯示,準(zhǔn)確可靠。 2.應(yīng)用于外周血細(xì)胞分類計數(shù) 能對外周血中常見的5種細(xì)胞即中性粒細(xì)胞、淋巴細(xì)胞、單核細(xì)胞、嗜酸性細(xì)胞、 嗜堿性粒細(xì)胞進(jìn)行分類計數(shù)、分析。若出現(xiàn)幼稚細(xì)胞也能進(jìn)行計數(shù)分析,檢驗人員只需 將觀察到的外周血中的各種細(xì)胞輸入儀器,能立刻循環(huán)顯示出細(xì)胞總計數(shù)、各種細(xì)胞個 數(shù)、百分率等指標(biāo),速度快、方便、準(zhǔn)確。 3.應(yīng)用于細(xì)胞化學(xué)染色結(jié)果的計算 能對細(xì)胞化學(xué)染色結(jié)果進(jìn)行計算,自動算出陽性和陽性反應(yīng)細(xì)胞總數(shù)、陽性率和積 分?jǐn)?shù)等指標(biāo)。 2.16 智能計數(shù)器 隨著時代的發(fā)展,技術(shù)的進(jìn)步,工業(yè)發(fā)展也

30、很快,很多工序都實現(xiàn)自動化,讓操作 變的簡單,工作效率變的高效,生產(chǎn)效率不斷提高,集成芯片的大量普及和價格的大幅 度降低,傳統(tǒng)機(jī)械計數(shù)器,電械計數(shù)器,電磁脈沖計數(shù)器逐漸被智能計數(shù)器所代替。智 能計數(shù)器的優(yōu)越性:如自動復(fù)位,數(shù)值設(shè)置,遠(yuǎn)程通訊等是傳統(tǒng)計數(shù)器根本無法相提并 論,其價格向機(jī)械計數(shù)器,電磁計數(shù)器靠攏更是向前者發(fā)出嚴(yán)重的挑戰(zhàn)。智能計數(shù)器, 是利用數(shù)字電路技術(shù)數(shù)出給定時間內(nèi)所通過的脈沖數(shù)并顯示計數(shù)結(jié)果的數(shù)字化儀器。智 能計數(shù)器是其他數(shù)字化儀器的基礎(chǔ)。在它的輸入通道接入各種模-數(shù)變換器,再利用相應(yīng) 的換能器便可制成各種數(shù)字化儀器。智能計數(shù)器的特點:測量精度高、量程寬、功能多、 操作簡單、測量

31、速度快、直接顯示數(shù)字,而且易于實現(xiàn)測量過程自動化。智能計數(shù)器按 功能可分 4 類:1.通用計數(shù)器:可測頻率、周期、多周期平均、時間間隔、頻率比和累 計等。2.頻率計數(shù)器:專門用于測量高頻和微波頻率的計數(shù)器。3.計算計數(shù)器:具有計算 功能的計數(shù)器,可進(jìn)行數(shù)學(xué)運(yùn)算,可用程序控制進(jìn)行測量計算和顯示等全部工作過程 4. 微波計數(shù)器:是以通用計數(shù)器和頻率計數(shù)器為主配以測頻擴(kuò)展器而組成的微波頻率計。 應(yīng)用領(lǐng)域:智能計數(shù)器在工業(yè)生產(chǎn)和科學(xué)實驗中得到廣泛應(yīng)用。 2.17 空氣離子濃度計算器 空氣離子濃度計算器是吸引空氣(或者帶有離子存在的氣體)通過帶電的平行極化 電極板進(jìn)行計數(shù)空氣中的離子(氣體)濃度的。外側(cè)

32、二板保持極化(正、負(fù))電勢,中 間是線性檢測器板??諝獾目障妒?4mm,極化區(qū)的電勢是 1000v/m。即可測定正離子,又 可測定負(fù)離子。也可以測定有關(guān)機(jī)體的負(fù)離子濃度,如環(huán)境、空氣凈化器、電電吹風(fēng)、 空調(diào)、負(fù)離子粉等。適用于學(xué)術(shù)單位、環(huán)保系統(tǒng)、負(fù)離子發(fā)生器研發(fā)機(jī)構(gòu)、相關(guān)展示單 位。 2.18 loadrunner 監(jiān)視的性能計數(shù)器 memory: 內(nèi)存使用情況可能是系統(tǒng)性能中最重要的因素。如果系統(tǒng)“頁交換”頻繁, 說明內(nèi)存不足。 “頁交換”是使用稱為“頁面”的單位,將固定大小的代碼和數(shù)據(jù)塊從 ram 移動到磁盤的過程,其目的是為了釋放內(nèi)存空間。頻繁的頁交換將降低系統(tǒng)性能。 減少頁交換將顯著提

33、高系統(tǒng)響應(yīng)速度。要監(jiān)視內(nèi)存不足的狀況,請從以下的對象計數(shù)器 開始: available mbytes:可用物理內(nèi)存數(shù). 如果 available mbytes 的值很小(4 mb 或更 ?。?,則說明計算機(jī)上總的內(nèi)存可能不足,或某程序沒有釋放內(nèi)存。 page/sec: 表明由于硬件頁面錯誤而從磁盤取出的頁面數(shù),或由于頁面錯誤而寫入 磁盤以釋放工作集空間的頁面數(shù)。一般如果 pages/sec 持續(xù)高于幾百,那么您應(yīng)該進(jìn)一 步研究頁交換活動。有可能需要增加內(nèi)存,以減少換頁的需求(你可以把這個數(shù)字乘以 4k 就得到由此引起的硬盤數(shù)據(jù)流量) 。pages/sec 的值很大不一定表明內(nèi)存有問題,而 可能

34、是運(yùn)行使用內(nèi)存映射文件的程序所致。 page read/sec:頁的硬故障,page/sec 的子集,為了解析對內(nèi)存的引用,必須讀取 頁文件的次數(shù)。閾值為5. 越低越好。大數(shù)值表示磁盤讀而不是緩存讀。 由于過多的頁交換要使用大量的硬盤空間,因此有可能將導(dǎo)致將頁交換內(nèi)存不足與 導(dǎo)致頁交換的磁盤瓶徑混淆。因此,在研究內(nèi)存不足不太明顯的頁交換的原因時,您必 須跟蹤如下的磁盤使用情況計數(shù)器和內(nèi)存計數(shù)器: physical disk % disk time physical disk avg.disk queue length 例如,包括 page reads/sec 和% disk time 及 av

35、g.disk queue length。如果頁面 讀取操作速率很低,同時% disk time 和 avg.disk queue length 的值很高,則可能有 磁盤瓶徑。但是,如果隊列長度增加的同時頁面讀取速率并未降低,則內(nèi)存不足。 要確定過多的頁交換對磁盤活動的影響,請將 physical disk avg.disk sec/transfer 和 memory pages/sec 計數(shù)器的值增大數(shù)倍。如果這些計數(shù)器的計數(shù)結(jié) 果超過了0.1,那么頁交換將花費(fèi)百分之十以上的磁盤訪問時間。如果長時間發(fā)生這種情 況,那么您可能需要更多的內(nèi)存。 page faults/sec:每秒軟性頁面失效的數(shù)

36、目(包括有些可以直接在內(nèi)存中滿足而有 些需要從硬盤讀取)較 page/sec 只表明數(shù)據(jù)不能在內(nèi)存的指定工作集中立即使用。 cache bytes:文件系統(tǒng)緩存(file system cache) ,默認(rèn)情況下為50%的可用物理 內(nèi)存。如 iis5.0 運(yùn)行內(nèi)存不夠時,它會自動整理緩存。需要關(guān)注該計數(shù)器的趨勢變化 如果您懷疑有內(nèi)存泄露,請監(jiān)視 memory available bytes 和 memory committed bytes,以觀察內(nèi)存行為,并監(jiān)視您認(rèn)為可能在泄露內(nèi)存的進(jìn)程的 processprivate bytes、processworking set 和 processhan

37、dle count。如果您懷疑是內(nèi)核模式進(jìn)程 導(dǎo)致了泄露,則還應(yīng)該監(jiān)視 memorypool nonpaged bytes、memory pool nonpaged allocs 和 process(process_name) pool nonpaged bytes。 pages per second:每秒鐘檢索的頁數(shù)。該數(shù)字應(yīng)少于每秒一頁。 process: %processor time: 被處理器消耗的處理器時間數(shù)量。如果服務(wù)器專用于 sql server,可 接受的最大上限是80-85% page faults/sec:將進(jìn)程產(chǎn)生的頁故障與系統(tǒng)產(chǎn)生的相比較,以判斷這個進(jìn)程對系 統(tǒng)頁故障

38、產(chǎn)生的影響。 work set: 處理線程最近使用的內(nèi)存頁,反映了每一個進(jìn)程使用的內(nèi)存頁的數(shù)量。 如果服務(wù)器有足夠的空閑內(nèi)存,頁就會被留在工作集中,當(dāng)自由內(nèi)存少于一個特定的閾 值時,頁就會被清除出工作集。 inetinfo:private bytes:此進(jìn)程所分配的無法與其它進(jìn)程共享的當(dāng)前字節(jié)數(shù)量。如 果系統(tǒng)性能隨著時間而降低,則此計數(shù)器可以是內(nèi)存泄漏的最佳指示器。 processor:監(jiān)視“處理器”和“系統(tǒng)”對象計數(shù)器可以提供關(guān)于處理器使用的有價 值的信息幫助您決,定是否存在瓶頸。 %processor time:如果該值持續(xù)超過95%,表明瓶頸是 cpu??梢钥紤]增加一個處 理器或換一個更

39、快的處理器。 %user time:表示耗費(fèi) cpu 的數(shù)據(jù)庫操作,如排序,執(zhí)行 aggregate functions 等。 如果該值很高,可考慮增加索引,盡量使用簡單的表聯(lián)接,水平分割大表格等方法來降 低該值。 %privileged time:(cpu 內(nèi)核時間)是在特權(quán)模式下處理線程執(zhí)行代碼所花時間 的百分比。如果該參數(shù)值和physical disk參數(shù)值一直很高,表明 i/o 有問題??煽紤] 更換更快的硬盤系統(tǒng)。另外設(shè)置 tempdb in ram,減低max async io,max lazy writer io等措施都會降低該值。 此外,跟蹤計算機(jī)的服務(wù)器工作隊列當(dāng)前長度的 se

40、rver work queues queue length 計數(shù)器會顯示出處理器瓶頸。隊列長度持續(xù)大于4 則表示可能出現(xiàn)處理器擁塞。 此計數(shù)器是特定時間的值,而不是一段時間的平均值。 % dpc time:越低越好。在多處理器系統(tǒng)中,如果這個值大于50%并且 processor:% processor time 非常高,加入一個網(wǎng)卡可能會提高性能,提供的網(wǎng)絡(luò)已經(jīng)不飽和。 thread contextswitches/sec: (實例化 inetinfo 和 dllhost 進(jìn)程) 如果你決定要增加線 程字節(jié)池的大小,你應(yīng)該監(jiān)視這三個計數(shù)器(包括上面的一個) 。增加線程數(shù)可能會增加 上下文切換次

41、數(shù),這樣性能不會上升反而會下降。如果十個實例的上下文切換值非常高, 就應(yīng)該減小線程字節(jié)池的大小。 physical disk: %disk time %:指所選磁盤驅(qū)動器忙于為讀或?qū)懭胝埱筇峁┓?wù)所用的時間的百分比。 如果三個計數(shù)器都比較大,那么硬盤不是瓶頸。如果只有%disk time 比較大,另外兩個 都比較適中,硬盤可能會是瓶頸。在記錄該計數(shù)器之前,請在 windows 2000 的命令行 窗口中運(yùn)行 diskperf -yd。若數(shù)值持續(xù)超過80%,則可能是內(nèi)存泄漏。 avg.disk queue length:指讀取和寫入請求(為所選磁盤在實例間隔中列隊的)的平 均數(shù)。該值應(yīng)不超過磁盤

42、數(shù)的1.52 倍。要提高性能,可增加磁盤。注意:一個 raid disk 實際有多個磁盤。 average disk read/write queue length:指讀取(寫入)請求(列隊)的平均數(shù)。 disk reads(writes)/s: 物理磁盤上每秒鐘磁盤讀、寫的次數(shù)。兩者相加,應(yīng)小于 磁盤設(shè)備最大容量。 average disksec/read: 指以秒計算的在此盤上讀取數(shù)據(jù)的所需平均時間。 average disk sec/transfer:指以秒計算的在此盤上寫入數(shù)據(jù)的所需平均時間。 bytes total/sec:為發(fā)送和接收字節(jié)的速率,包括幀字符在內(nèi)。判斷網(wǎng)絡(luò)連接速度 是

43、否是瓶頸,可以用該計數(shù)器的值和目前網(wǎng)絡(luò)的帶寬比較8。 2.19小結(jié) 計數(shù)器是人們?nèi)粘I畹睦锊婚_的工具,它也是所有計數(shù)器的基礎(chǔ)。 以上計數(shù)器知識一些常見的計數(shù)器,它們出現(xiàn)在各個領(lǐng)域,并扮演了重要的角色, 大大提高了人們的生產(chǎn)效率。由此我們可以看出計數(shù)器將以多樣式、多功能的形式發(fā)展, 在未來生活中會體現(xiàn)出越來越重要的位置。 第 3 章 32 位高速計數(shù)器 3.1 32 位計數(shù)器程序 3.1.1 頂層文件設(shè)計 頂層文件設(shè)計圖(如圖 3-1) 3.1.2 cnt_ffffffff(32 位計數(shù)器) library ieee; use ieee.std_logic_1164.all; use ieee

44、.std_logic_unsigned.all; entity cnt_ffffffff is port(clk,rst,en: in std_logic; 圖 3-1 頂層文件設(shè)計圖 day_7,day_6,day_5,day_4,day_3,day_2,day_1,day_0:out std_logic_vector(3 downto 0); end cnt_ffffffff; architecture behave of cnt_ffffffff is signal d_7,d_6,d_5,d_4,d_3,d_2,d_1,d_0: std_logic_vector(3 downto 0)

45、; begin process (rst,clk) begin if(rst=0)then d_7=0000;d_6=0000;d_5=0000;d_4=0000;d_3=0000;d_2=0000;d_1=00 00;d_0=0000; elsif(clkevent and clk=1) then if(en=1) then if(d_0=1111) then d_0=0000; if(d_1=1111) then d_1=0000; if(d_2=1111) then d_2=0000; if(d_3=1111) then d_3=0000; if(d_4=1111) then d_4=0

46、000; if(d_5=1111) then d_5=0000; if(d_6=1111) then d_6=0000; if(d_7=1111) then d_7=0000; else d_7=d_7+1; end if; else d_6=d_6+1; end if; else d_5=d_5+1; end if; else d_4=d_4+1; end if; else d_3=d_3+1; end if; else d_2=d_2+1; end if; else d_1=d_1+1; end if; else d_0=d_0+1; end if; end if; end if; day

47、_7=d_7;day_6=d_6;day_5=d_5;day_4=d_4;day_3=d_3;day_2=d_2;day_1=d_1;da y_0=d_0; end process; end behave; 3.1.3 sel(選擇器) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity sel is port( clk1,reset:in std_logic; day7,day6,day5,day4,day3,day2,

48、day1,day0:in std_logic_vector(3 downto 0); daout:out std_logic_vector(3 downto 0); s:out std_logic_vector(2 downto 0); end sel; architecture fun of sel is signal count: std_logic_vector(2 downto 0); begin s=count; process(clk1,reset) begin if(reset=0)then count=000; elsif(clk1event and clk1=1)then c

49、ountdaoutdaoutdaoutdaoutdaoutdaoutdaoutdaout=day7; end case; end process; end fun; 3.1.4 deled(譯碼器) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity deled is port( num: in std_logic_vector( 3 downto 0); a,b,c,d,e,f,g: out std_logic); end deled; architecture fun of d

50、eled is signal dout:std_logic_vector( 6 downto 0); begin dout=1111110 when num=0000else 0110000 when num=0001else 1101101 when num=0010else 1111001 when num=0011else 0110011 when num=0100else 1011011 when num=0101else 1011111 when num=0110else 1110000 when num=0111else 1111111 when num=1000else 1111011 when num=1001else 1110111 when num=1010else 0011111 when num=1011else 1001110 when num=1100else 0111101 when num=1101else 1001111 when num=1110else 10001

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論