版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、.信息技術學院可編程邏輯器件原理及應用課程綜合設計報告書姓 名: 王云鵬 班 級: b1106 學 號: 0915110601 時 間: 2013.12.3 指導教師: 李海成 設計題目出租車計價器系統(tǒng)設計設計要求和任務1. 能實現(xiàn)計費功能,計費標準為:按行駛里程收費,起步為5.00元,并在車行5公里后再按1.2元/公里。2. 傳感器輸出脈沖為0.5m/個。每0.5km改變一次顯示,且 提前顯示。3.實現(xiàn)預置功能:能預置起步費、每公里收費、車行加費里 程。4. 實現(xiàn)模擬功能:能模擬汽車啟動、停止等狀態(tài)。5. 設計動態(tài)掃描電路:將車費顯示出來,有兩位小數(shù)。6. 用vhdl語言設計符合上述功能要求
2、的出租車計費器,并用層次化設計方法設計該電路。7.各計數(shù)器的計數(shù)狀態(tài)用功能仿真的方法驗證,并通過有關波形確認電路設計是否正確。8.完成電路全部設計后,通過系統(tǒng)實驗箱下載驗證設計的正確性。設計過程設計過程設計過程設計過程設計過程設計過程設計過程設計過程一、實驗平臺(1) 硬件:計算機、eda實驗箱;(2) 軟件:max+plus ii 10.0 ; (3)編寫程序后,并在max+plus ii 10.0 工具平臺中進行編譯、綜合、適配、仿真下載到eda實驗箱進行驗證,通過led顯示來觀察結果。二、實驗原理(1)控制模塊(2)分頻模塊(3)計費動態(tài)顯示模塊其初值為5元,當里程超過5公里后才接受計數(shù)
3、控制模塊發(fā)出的脈沖的驅動,并且計數(shù)顯示動態(tài)顯示出來。三、出租車計費系統(tǒng)的實現(xiàn)3.1系統(tǒng)的總體模塊圖:四、實驗步驟 1、建立工作庫文件夾和編輯設計文件2、程序清單和波形圖:library ieee;use ieee.std_logic_1164.all;entity bcd_decoder is port ( bcd: in std_logic_vector (4 downto 0); ledseg : out std_logic_vector (7 downto 0); end bcd_decoder ;architecture behavior of bcd_decoder isbegin
4、process(bcd)begin case bcd iswhen 00000=ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg ledseg yyyynull;end case;end process;end one;library ieee; -計程控制use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.
5、all;entity kilo isport(clk:in std_logic; start:in std_logic; stop:in std_logic; k1:out std_logic_vector(3 downto 0); k2:out std_logic_vector(3 downto 0); k3:out std_logic_vector(3 downto 0); cn:out std_logic);end kilo;architecture behav of kilo isbeginprocess(clk,start,stop)variable k_v:std_logic_ve
6、ctor(11 downto 0);beginif stop=1 then k_v:=(others=0);elsif clkevent and clk=1thenif start=1 then if k_v(3 downto 0)=1001 then k_v:=k_v+0111; else k_v(3 downto 0):=k_v(3 downto 0)+1; end if;if k_v(7 downto 4)=1010 thenk_v:=k_v+01100000;end if;end if;end if;if k_v(11 downto 0)=000001010000 then cn=1;
7、else cn=0;end if;k1= k_v(3 downto 0);k2= k_v(7 downto 4);k30);co=1;else q:=q+1;coeee0);cout=0;elsif clkevent and clk=1then if en=1then if cqi9 then cqi:=cqi+6; cout=9 then cout=1;cqi:=cqi-01010; end if;end if;end if;cq0);elsif clkevent and clk=1then if en=1then if cqi0); end if; if cqi=9 then cout=1
8、; else cout=0;end if;end if;end if;cq0);elsif clkevent and clk=1then if cn=0 then cqi:=00101; elsif en=1 then if cqi0); end if; if cqi=10 then cout=1;cqi:=00000; else cout=0;end if;end if;end if;cq=cqi+10000;end process;end behav;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.a
9、ll;entity cnt4 isport(clk:in std_logic; q:out std_logic_vector(1 downto 0);end cnt4;architecture a of cnt4 isbeginprocess(clk)variable a:std_logic_vector(1 downto 0);begin if clkevent and clk=1then if a=10then a:=00;else a:=a+1;end if;end if;q=a;end process;end a;五、編譯過程(1)輸入完程序之后逐個編譯;(2)逐個編譯無錯之后進行全程編譯;六、實驗小結這次課程設計中,我們主要是運用vhdl語言設計了一個出租車計費器,并且用層次化的設計方法來實現(xiàn)這個電路。在程序編寫結束后,我們還對該程序進行了調(diào)試, 能按預期的效果進行模擬汽車啟動、停止等功能,基本完成課程設計的要求。通過此次課程設計,我們更進一步的深入了解了vhdl設計語言,并通過使用對它有了更深的體會。對于編程過
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五版申通快遞快遞運輸服務協(xié)議范本3篇
- 二零二五年度寵物領養(yǎng)服務合同標準范本2篇
- 紡織行業(yè)紡織培訓
- 二零二五版國際貨運代理業(yè)投資監(jiān)管細則3篇
- 酒店管理的管理技能
- 二零二五年度物流倉儲行業(yè)搬運工勞務派遣服務協(xié)議3篇
- 二零二五年度個人與企業(yè)個人間文化藝術交流活動合同規(guī)范3篇
- 二零二五年度跨境電商品牌授權區(qū)域代理銷售委托代銷合同3篇
- 二零二五年度個人教育培訓貸款合同模板2篇
- 二零二五年度入學新生教育法律協(xié)議書(全面創(chuàng)新發(fā)展)3篇
- 致命性大出血急救專家共識
- 住院成人高血糖患者血糖監(jiān)測醫(yī)護協(xié)議處方共識
- DL-T5816-2020分布式電化學儲能系統(tǒng)接入配電網(wǎng)設計規(guī)范
- 2024年4月自考00832英語詞匯學試題
- 競賽試卷(試題)-2023-2024學年六年級下冊數(shù)學人教版
- 《電力用直流電源系統(tǒng)蓄電池組遠程充放電技術規(guī)范》
- T-ACEF 095-2023 揮發(fā)性有機物泄漏檢測紅外成像儀(OGI)技術要求及監(jiān)測規(guī)范
- 骨科手術的術后飲食和營養(yǎng)指導
- 旅游定制師入行培訓方案
- 2024年中國南方航空股份有限公司招聘筆試參考題庫含答案解析
- 六年級上冊數(shù)學應用題100題
評論
0/150
提交評論