版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、通信系統(tǒng)課程設計報告課題名稱 pi/2系統(tǒng)qpsk設計 學生姓名 班 級 07通信2w 學 號 07313220 指導教師 設計地點 60#507 2010年 10 月 14 日目 錄序言3第一章 設計任務要求31.1 設計要求31.2 /2體系qpsk調(diào)制系統(tǒng)設計3第二章 vhdl語言與 quartus ii軟件簡介41.1 quartus 軟件介紹41.2 quartus 的vhdl語言的軟件操作流程41.3 quartus 的vhdl語言的軟件操作流程5第三章qpsk工作原理及信號產(chǎn)生62. 1qpsk工作原理62. 2qpsk信號產(chǎn)生72.2.1 調(diào)相法72.2.2相位選擇法92.2.
2、3.qpsk調(diào)制原理圖.9第四章基于quartus ii軟件的qpsk碼編碼器的設計 104.1 qpsk編碼器的vhdl建模與程序設計104.1.1程序設計思想104.1.2調(diào)制電路vhdl 程序114.2調(diào)試與分析124.2.1基帶信號的設置124.2.2仿真的波形設置124.2.3仿真圖134.2.4分析13參考文獻13.心得與體會13序言四相相移鍵控信號(quardrature phase - shift keying ,qpsk) 。它具有一系列獨特的優(yōu)點,比如抗干擾能力強,在恒參信道下,qpsk調(diào)制技術(shù)與fsk、2psk、ask調(diào)制技術(shù)相比較,不但抗干擾能力強,而且能更經(jīng)濟有效地利
3、用頻帶,適合回傳通道的技術(shù)要求,因此被廣泛應用于無線通信中,成為現(xiàn)代通信中一種十分重要的調(diào)制解調(diào)方式qpsk技術(shù)的性能分析。qpsk技術(shù)具有抗干擾性能強、誤碼性能好、頻譜利用率高等優(yōu)點。19世紀80年代中期以后,四相絕對移相鍵控(qpsk)技術(shù)以其抗干擾性能強、誤碼性能好、頻譜利用率高等優(yōu)點,廣泛應用于數(shù)字微波通信系統(tǒng)、數(shù)字衛(wèi)星通信系統(tǒng)、寬帶接入、移動通信及有線電視系統(tǒng)之中。例如:在衛(wèi)星數(shù)字電視傳輸中,普遍采用的qpsk調(diào)諧器是當今衛(wèi)星數(shù)字電視傳輸中對衛(wèi)星功率、傳輸效率和抗干擾性以及天線尺寸等多種因素綜合考慮的最佳選擇。第一章設計任務要求1.1 設計要求1.1.1、按題目要求的邏輯功能進行設計
4、,各個部分須有設計說明;1.1.2、采用原理圖或vhdl 語言輸入法,在微機上進行編譯和軟件仿真;1.1.3、軟件仿真完成后,必須經(jīng)教師允許方可進行下載。1.2 /2體系qpsk調(diào)制系統(tǒng)設計1.2.1采用vhdl語言輸入法1.2.2根據(jù)qpsk調(diào)制原理,確定調(diào)制系統(tǒng)具體設計方案1.2.3畫出/2體系qpsk調(diào)制系統(tǒng)的程序設計流程圖1.2.4編寫vhdl源程序,調(diào)試及仿真時序波形第 二 章 vhdl語言與 quartus ii軟件簡介1.1 quartus 軟件介紹quartus ii是altera公司在21世紀初推出的cpld/fpga集成開發(fā)環(huán)境,它是該公司前一代cpld/fpga集成開發(fā)環(huán)
5、境max+pus ii的更新?lián)Q代產(chǎn)品。quartus ii提供了一種與結(jié)構(gòu)無關(guān)的設計環(huán)境,其界面使設計者能方便地進行設計輸入、快速處理和器件編程。quartus ii還包含許多十分有用的參數(shù)化的模塊庫,它們是復雜或高級系統(tǒng)構(gòu)建的重要組成部分。quartus ii加強了網(wǎng)絡功能,它具有最新的internet技術(shù),設計人員可以直接通過internet獲得altera的技術(shù)支持。quartus 提供了完全集成且與電路結(jié)構(gòu)無關(guān)的開發(fā)環(huán)境,具有數(shù)字邏輯設計的全部特性。 quartus 設計軟件提供完整的多平臺設計環(huán)境,可以很輕松地滿足特定設計的需要。它是可編程片上系統(tǒng)(sopc 設計的綜合性環(huán)境,擁有f
6、pga和cpld設計的所有階段的解決方案。與其它eda 軟件相比較quartus 軟件的特點主要包括: 可利用原理圖、結(jié)構(gòu)框圖、verilog hdl、ahdl 和vhdl 完成電路描述,并將其保存為設計實體文件。 芯片(電路)平面布局連線編輯。 logiclock 增量設計方法,用戶可建立并優(yōu)化系統(tǒng),然后添加對原始系統(tǒng)的性能影響較小或無影響的后續(xù)模塊。(1)功能強大的邏輯綜合工具。(2)完備的電路功能仿真與時序邏輯分析。(3)定時/時序分析與關(guān)鍵路徑延時分析。(4)可使用 signaltap 邏輯分析工具進行嵌入式的邏輯分析。(5)支持軟件源文件的添加和創(chuàng)建,并將它們鏈接起來生成編程文件。(
7、6)使用組合編譯方式可一次完成整體設計流程。 (7)自動定位編譯錯誤。(8)高效的期間編程與驗證工具。 1.2 quartus 的vhdl語言的軟件操作流程vhdl語言是一種標準化的硬件描述語言,它自身必然有很多其他硬件描述語言所不具備的優(yōu)點:(1)vhdl語言功能強大,設計方式多樣。(2)vhdl語言具有強大的硬件描述能力。(3)vhdl語言具有很強的移植能力。(4)vhdl語言的設計描述與期間無關(guān)。(5)vhdl語言程序易于共享和復用。雖然vhdl語言具有很多其他硬件描述語言所不具備的優(yōu)點,但是它也并不是一種完全理想的硬件描述語言。同樣,它也具有一些自身的缺點,或者說vhdl語言還有一些需
8、要不斷完善的地方:(1)vhdl語言有時不能準確地描述硬件電路。(2)vhdl語言的系統(tǒng)級抽象描述能力較差。(3)vhdl語言不能描述模擬電路。作為一種標準化的硬件描述語言,vhdl語言描述硬件電路時具有一定的流程可以遵循。對于設計人員來說,掌握vhdl語言的開發(fā)流程圖和開發(fā)步驟具有重要的指導作用。1.3 quartus 的vhdl語言的軟件操作流程操作流程分為四個較大的過程:輸入、編譯、仿真和下載。輸入:本次課程設計采用vhdl語言輸入方式。編譯:在輸入完畢并保存文件后,建立合適的工程項目文件,執(zhí)行compilation編譯命令,即可由quartus 軟件自動產(chǎn)生輸出波形。仿真:在建立時序波
9、形文件,給定輸入信號波形并保存后,方可執(zhí)行simulation仿真命令,即可由quartus 自動產(chǎn)生輸出波形。下載:先建立輸入/輸出端子與實際芯片的對應關(guān)系,即引腳鎖定,并重新編譯,產(chǎn)生“.scf”文件,然后執(zhí)行programmer命令,方可將設計的原理圖程序下載到實際的芯片上。在執(zhí)行programmer命令前還要將實驗箱硬件電路連接好,這是下載的先決條件。附: 第三章qpsk工作原理及信號產(chǎn)生2.1qpsk工作原理四相絕對移相調(diào)制是利用載波的4種不同相位來表征數(shù)字信息。每一種載波相位代表兩個比特的信息。例如,若輸入二進制數(shù)字信息,序列為1 0 0 1 1 1 0 0, ,則應該先將其進行分
10、組,每兩個比特編為一組。可將它們分成10, 01, 11, 00,等,然后分別用4種不同的相位來表示。故每個四進制碼元又被稱為雙比特碼元。把組成雙比特碼元的前一個信息比特用a代表,后一個信息比特用b代表。雙比特碼元中的兩個信息比特ab通常是按格雷碼排列的。載波相位若用k 表示,則k 在0到2內(nèi)等間隔的取值僅有4種可能。表1給出碼元與載波相位的關(guān)系。又由于正弦函數(shù)和余弦函數(shù)的互補特性,對應于k 的4種取值,例如45、135、225、315,其幅度ak ( ak = cosk ) 和bk ( bk = sink ) 。只有兩種取值,即1 / 2。所以,四相絕對移相調(diào)制可以看作兩個正交的二相絕對移相
11、調(diào)制的合成。載波相位k 的4種取值矢量關(guān)系,如圖1所示。 qpsk中每次調(diào)制可傳輸2個信息比特,這些信息比特是通過載波的四種相位來傳遞的。qpsk是一種頻譜利用率高、抗干擾性強的數(shù)調(diào)制方式, 它被廣泛應用于各種通信系統(tǒng)中. 適合衛(wèi)星廣播。例如,數(shù)字衛(wèi)星電視dvb2s 標準中,信道噪聲門限低至4. 5 db,傳輸碼率達到45m boumls,采用qpsk 調(diào)制方式,同時保證了信號傳輸?shù)男屎驼`碼性能。圖1 ( a)中表示采用方式1的qpsk信號的矢量圖,屬于/2體系。圖1 ( b)中表示采用方式2的qpsk信號的矢量圖,均屬于/4體系。由于四相絕對移相調(diào)制可以看作兩個正交的二相絕對移相調(diào)制的合成
12、,因此,兩者的功率譜密度分布規(guī)律相同2.2.qpsk信號產(chǎn)生因為四相絕對移相調(diào)制可以看作兩個正交的二相絕對移相調(diào)制的合成,所以同相通道i和正交通道q的調(diào)制過程應與二相絕對移相調(diào)制相同。因此,在本質(zhì)上qpsk調(diào)制器是兩個2psk調(diào)制器的并行組合。qpsk信號產(chǎn)生的方法和2psk信號一樣,也可以分為調(diào)相法和相位選擇法兩種,下面將分別論述其原理和實現(xiàn)方法。2.2.1 調(diào)相法用調(diào)相法產(chǎn)生/4體系的qpsk信號的系統(tǒng)方框圖如圖2所示。圖2中,串并變換器將輸入的二進制序列依次分為兩個速率減半的并行的單極性序列,變成m=log2m個并行數(shù)據(jù)流。假設兩個序列中的二進制數(shù)字分別為a和b,每一對ab稱為一個雙比特
13、碼元。單極性的a和b脈沖通過極性變換,即0 - 1和1 + 1,變成雙極性二電平信號i ( t) 和q ( t) ,然后進入兩個平衡調(diào)制器,分別對同相載波和正交載波進行二相調(diào)制,得到圖3中的虛線矢量。將兩路輸出疊加,即得如圖3中的實線所示的四相移相信號。其相位編碼邏輯關(guān)系如表2所示。如果將載波移相-/4,使用此方框圖系統(tǒng)也可以產(chǎn)生/2體系的qpsk信號。2.2.2相位選擇法利用相位選擇法產(chǎn)生qpsk信號的系統(tǒng)方框圖如圖4所示。它實際上是對調(diào)相法的一種簡化,把幾個電路合并后封裝在一起。圖4中,四相載波發(fā)生器分別送出調(diào)相所需的4種不同相位的載波。按照串并變換器輸出的雙比特碼元的不同,邏輯選相電路輸
14、出相應相位的載波。例如,雙比特碼元ab為10時,輸出相位為315的載波; ab為00時,輸出相位為225的載波等。最后經(jīng)過帶通濾波器濾除高頻分量,得到qpsk信號。2.2.3.qpsk調(diào)制原理圖qpsk信號有00、01、10、11四種狀態(tài)。所以,對輸入的二進制序列,首先必須分組,每兩位碼元一組。然后根據(jù)組合情況,用載波的四種相位表征它們,qpsk信號實際上是兩路正交雙邊帶信號第四章基于quartus ii軟件的qpsk碼編碼器的設計4.1 qpsk編碼器的vhdl建模與程序設計4.1.1程序設計思想qpsk編碼主要是用四種相位表示四進制數(shù),基帶信號通過串/并轉(zhuǎn)換器得到2 位并行信號, 四選一開
15、關(guān)根據(jù)該數(shù)據(jù), 選擇載波對應的相位進行輸出, 即得到調(diào)制信號,如下圖所示:4.1.2調(diào)制電路vhdl 程序library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pl_qpsk isport( clk:in std_logic; -系統(tǒng)時鐘 start:in std_logic; -開始調(diào)制信號 x:in std_logic; -基帶信號 y:out std_logic); -調(diào)制信號end pl_qpsk;architecture
16、behav of pl_qpsk issignal q:integer range 0 to 7; -計數(shù)器signal xx:std_logic_vector(1 downto 0); -中間寄存器signal yy:std_logic_vector(1 downto 0); -2位并行碼寄存器signal f:std_logic_vector(3 downto 0); -載波fbeginprocess(clk) -通過對clk分頻,得到4種相位;并完成基帶信號的串并轉(zhuǎn)換beginif clkevent and clk=1 then if start=0 then q=0;f=0000; e
17、lsif q=0 then q=1;f(3)=1; f(2)=1;f(1)=0; xx(1)=x;yy=xx; elsif q=2 then q=3;f(2)=0; f(0)=1; elsif q=4 then q=5;f(3)=0; f(1)=1; xx(0)=x; elsif q=6 then q=7;f(2)=1; f(0)=0; elsif q=7 then q=0;f=0110;else q=q+1;end if;end if;end process;y=f(0) when yy=10 else f(1) when yy=11 else f(2) when yy=01 else f(
18、3); -根據(jù)yy寄存器數(shù)據(jù),輸出對應的載波end behav;4.2調(diào)試與分析4.2.1基帶信號的設置本次設計基帶信號的輸入我選擇的是1 0 1 1 0 0 0 1一個二進制序列,串并轉(zhuǎn)換后變成10,11,00,01四進制數(shù)。4.2.2仿真的波形設置由于程序中一個四進制數(shù)持續(xù)的寬度是8個時鐘周期,所以以一個二進制數(shù)的寬度就是4時鐘周期,start信號設置為高電平。4.2.3仿真圖4.2.4分析yy中的數(shù)實際上就是輸入信號的并行輸出,圖中可以看出0110,0011, 1100, 1001四種不同的狀態(tài)就對應了10,11, 00, 01四個數(shù)的不同相位輸出,由此實現(xiàn)了qpsk調(diào)制輸出。參考文獻
19、1 樊昌信,張甫翊,徐炳祥. 通信原理m . 北京:國防工業(yè)出版社, 2001 2 余智,余兆明. 數(shù)字調(diào)制技術(shù) j . 中國多媒體視訊, 2003 (7) : 22 - 26 3 劉建軍,李杰,趙恩寶. 淺談qpsk調(diào)制技術(shù) j . 中國有線電視, 2004 (10) : 57 - 59 4 曹志剛,錢亞生. 現(xiàn)代通信原理m . 北京:清華大學出版社, 1992 5 張力,馬忠松. 基于軟件無線電的qpsk解調(diào)器仿真及實現(xiàn) j . 中國有線電視, 2005 (6) : 557 561 6 郭梯云,鄔國揚,李建東. 移動通信m . 西安:電子科技大學出版社, 2000心得與體會本次的設計使我從中學到了一些很重要的東西,那就是如何從理論到實踐的轉(zhuǎn)化,怎樣將我所學到的知識運用到我以后的工作中去。在大學的課堂的學習只是在給我們灌輸專業(yè)知識,而我們應把所學的用到我們現(xiàn)實的生活中去,此次的設計給我奠定了一個實踐基礎,我會在以后的學習、生活中磨練自己,使自己適應于以后的競爭。我認為,積極地參與電子課程設計,不僅可以充分的體會到自己動手實踐的樂趣,獲得哪怕是前進一小步時候的那
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 幼兒園工作總結(jié)感恩每一天
- 2024年設備監(jiān)理師考試題庫含答案(綜合卷)
- 演藝經(jīng)紀人的工作總結(jié)
- 服裝行業(yè)的搭配顧問工作總結(jié)
- 建材行業(yè)行政后勤工作總結(jié)
- 2025年高考歷史一輪復習之文化傳承與文化創(chuàng)新
- 花藝裝飾行業(yè)美工工作技能總結(jié)
- 2024年設備監(jiān)理師考試題庫及答案【真題匯編】
- 2024消防安全知識教育總結(jié)范文(35篇)
- 農(nóng)村蓋房傷亡合同(2篇)
- 大慶市2025屆高三年級第二次教學質(zhì)量檢測(二模)政治試卷(含答案)
- 2025年內(nèi)江資中縣融媒體中心招考聘用新媒體工作人員3人高頻重點提升(共500題)附帶答案詳解
- 裝修材料合同范例
- 【7地RJ期末】安徽省合肥市廬江縣2023-2024學年七年級上學期期末地理試題(含解析)
- 共用線路三方協(xié)議合同范例
- 戰(zhàn)略規(guī)劃的關(guān)鍵要點
- 社會工作服務質(zhì)量保障措施
- 雅禮中學2024-2025學年初三創(chuàng)新人才選拔數(shù)學試題及答案
- 冬季高空作業(yè)施工方案
- 山西云時代技術(shù)有限公司招聘筆試題目
- 2024-2025學年人教版九年級數(shù)學上學期復習:圓的綜合解答題 壓軸題型專項訓練(30道題)
評論
0/150
提交評論