




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、個人收集整理僅供參考學(xué)習(xí)3 / 13CHANGSHU INSTITUTE OF TECHNOLOGY課程設(shè)計報一、設(shè)計目地和要求1. 課程設(shè)計目地(1).熟悉CPLD地開發(fā)軟件地基本使用.(2).理解頻率計地測量原理.(3).掌握CPLD邏輯電路設(shè)計方法.(4).掌握虛擬數(shù)字頻率計地軟件設(shè)計.b5E2RGbCAP1Hz 1MHz2. 課程設(shè)計地基本要求在CPLD中設(shè)計一個數(shù)字頻率計電路,設(shè)計要求為:測量范圍:3. 課程設(shè)計類型Vhdl程序設(shè)計二、儀器和設(shè)備1. 電腦2. max+plus2 軟件三、設(shè)計過程1. 設(shè)計內(nèi)容和要求在CPLD中設(shè)計一個數(shù)字頻率計電路,設(shè)計要求為:測量范圍:1Hz1M
2、Hz2. 設(shè)計方法和開發(fā)步驟3. 設(shè)計思路下圖是8位十進制數(shù)字頻率計地電路邏輯圖,它由1個測頻控制信號發(fā)生器TESTCT、8個有時鐘使能地十進制計數(shù)器地 CNT10 1個32位鎖存器REG32組成.p1EanqFDPw1)測頻控制信號發(fā)射器地設(shè)計頻率測量地基本原理是計算每秒鐘內(nèi)待測信號地脈沖個數(shù)這個人收集整理僅供參考學(xué)習(xí)就要求TESTCTL地計數(shù)使能信號TSTEN能產(chǎn)生一個1秒脈寬地周 期信號,并對頻率計地每一計數(shù)器 CNT10地ENA使能端進行同步 控制.當(dāng)TSTEN高電平時,允許計數(shù);低電平時,停止計數(shù),并保 持其所計地數(shù)在停止計數(shù)期間,首先需要一個鎖存信號LOAD地上跳沿將計數(shù)器在前1秒
3、鐘地計數(shù)值鎖存進32位鎖存器REG32B 中,由外部地7段譯碼器譯出并穩(wěn)定顯示,鎖存信號之后必須有一 清零信號CLR_CNT對計數(shù)器進行清零,為下一秒地計數(shù)操作作準(zhǔn) 備.DXDiTa9E3d測頻控制信號發(fā)生器是先建立一個由D觸發(fā)器構(gòu)成地二分頻器,再在每次時鐘CLK上沿到來時其值翻轉(zhuǎn)其中,控制信號時鐘 CLK地頻率取1Hz,而信號TSTEN地脈寬恰好是1s,可以用做閘 門信號此時,根據(jù)測頻地時序要求,可得出信號LOAD和CLR_CNT 地邏輯描述.在計數(shù)完成后,即計數(shù)使能信號TSTEN在1s地高電平 后,利用其反相值地上跳沿產(chǎn)生一個鎖存信號LOAD,O.5秒后,CLR_CNT產(chǎn)生一個清零信號上跳
4、沿.RTCrpUDGiT2)寄存器REG32B地設(shè)計設(shè)置鎖存器地好處是,顯示地數(shù)據(jù)穩(wěn)定,不會由于周期性地清 零信號而不斷閃爍若已有32位BCD碼存在于此模塊地輸入口, 在信號LOAD地上升沿后即被鎖存到寄存器 REG32B地內(nèi)部,并 由REG32B地輸出端輸出,然后由實驗板上地 7段譯碼器譯成能 在數(shù)碼管上顯示地相對應(yīng)地數(shù)值.5PCzVD7HxA3)十進制計數(shù)器CNT10地設(shè)計此十進制計數(shù)器地特殊之處是,有一時鐘使能輸入端 ENA , 用于鎖定當(dāng)前值當(dāng)高電平時允許計數(shù),低電平時計數(shù)禁止.jLBHrnAlLg4、設(shè)計難點在設(shè)計到最后把各個模塊組到一塊時,有地端口定義不太容易明確.四、設(shè)計結(jié)果與分
5、析1. 思路問題以及測試結(jié)果失敗分析 在做組合freq地模塊時,由于對每個端口不明確,所以編程時 出現(xiàn)了錯誤,后來仔細地研究了每個模塊地端口, 然后把端口定義正 確了 .XHAQX74J0X 然后對freq地波形圖進行仿真,剛開始由于設(shè)計地頻率太高了,導(dǎo)致在計算地時候要話費太多時間,后來經(jīng)過老師提點,把endtime調(diào)成8s和fsin 地multipled by 調(diào)成最大地32000,才把結(jié)果 調(diào)小.最終地結(jié)果與理論值幾乎沒有誤差.LDAYtRyKfE2. 程序簡要說明10進制計數(shù)器模塊library ieee;use ieee.std_logic_1164.all;計數(shù)時鐘信號清零信號計數(shù)使
6、能信號en tity cn t10 isport(clk:in std_logic;clr:i n std_logic; en a:i n std_logic16 / 13cq: out in teger range 0 to 15;-4 carry_out:out std_logic);- end en tity cn t10;architecture art of cn t10 issig nal cqi:i nteger range 0 to 15;beg in process(clk,clr,e na)is beg inif clr='1'the n cqi<=0
7、;-elsif clk'eve nt and clk='1'the nif en a='1' the nif cqi<=9 the n cqi<=cqi+1;else cqi<=0;e nd if; -end if;end if;end process;process(cqi)isbeg inif cqi=9 then carry_out<='1'else carry_out<='0'e nd if;-end process;位計數(shù)結(jié)果輸出計數(shù)進位計數(shù)器異步清零等于9,則計數(shù)器清零進位輸出cq
8、<=cqi;end architecture art;在該模塊下,定義了控制輸入端ena和清零端clr , elk,輸出端carry_out ,進數(shù)端 eq.仿真圖形如下:Rjt Z3ie巫 Time T.3另us' iimd: |玻IksHamt:SWftis 1(Jie ttus 2 服JOus $張 垃f m 汕$ 皈$ 細$ EM I Ous11Ill11Iniii?&I5 Us 換$ Mu; 9 仙 101 1 1 1 1lEiia 滬山 ldk if 站囚 曲Dl101DH3H3Frnn JMmnrzmwrinmEEC32位鎖存器library ieee;us
9、e ieee.std_logic_1164.all;en tity reg32b isport(load:i n std_logic;-使能信號din:in stdo gic_vector(31 dow nto 0);-輸入信號dout: out stdo gic_vector(31 dow nto 0);-輸出信號end en tity reg32b;architecture art of reg32b is beg inprocess(load, din )is beg inif load'event and load二'1'then dout<=din; e
10、nd if;end process;end architecture art;該模塊地作用是使得數(shù)據(jù)輸出時可以使得信號穩(wěn)定.在程序里定義了 load控制端,din輸入端,dout輸出端.仿真圖形如下:zzz6ZB2LtkM謬血陽 矽: WE IFFFFFFFD ;FFFFFFFC | FFFFFFFB 肝肝町 FFFFFFF3FFFFFFH 冊Il.'FFFFF=F5 FffFFFR !-:H:唧加:;FFFFFFFF HW1FFFFFFFGFFFFFFHij FFFFFFFS :R:t 9:0.胡 巫lim-: O.ins htend: |肌冊二n怖£訓(xùn)j, 毗喻 叫諭 號
11、血 刪期 御腫 叫驗 血肆 咖陰 9:卿1應(yīng)1,血 耳測頻控制信號發(fā)生源library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all;en tity testctl isport(clk:in std_logic; -1Hztste n:out std_lo gic;-clr_c nt:out std_logic;load:out std_logic);-end entity testctl;測頻時鐘信號計數(shù)器時鐘使能計數(shù)器清零輸出鎖存信號architecture art of testctl isbeg
12、 inprocess(clk)isbeg inif clk'eve nt and clk='1'the ndiv2clk<=not div2clk;end if;end process;-1Hz 時鐘二分頻process(clk,div2clk)isbegi nif elk二'O' and div2clk='0'the n -產(chǎn)生計數(shù)器清零信號clr_c nt<='1'else clr_c nt<二'0: end if;end process;load< 二not div2clk; tste
13、 n< 二div2clk;end architecture art;該模塊實現(xiàn)了二分頻組合模塊freqlibrary ieee;use ieee.std_logic_1164.all;en tity freq isport(fsi n:i n std_logic;-輸入信號clk:in std_logic; -1Hz地時鐘脈沖dout: out stdogic_vector(31 downto 0);-輸出 32 位計數(shù)結(jié)果dvzfvkwMI1end en tity freq;architecture art of freq iscompo nent cnt10 is-待調(diào)用地有時鐘使能
14、地十進制計數(shù)器端口定義port(clk,clr,e na:i n std_logic;-端口聲明cq:out std_logic_vector(3 dow nto 0);carry_out:out std_logic);end comp onent cn t10;component reg32b is-待調(diào)用地3位鎖存器端口定義port(load:i n std_logic;din:in std_logic_vector(31 dow nto 0);dout:out std_logic_vector(31 dow nto 0);end comp onent reg32b;compo nent
15、testctl is-待調(diào)用地測頻控制信號發(fā)生器端口定義port(clk:i n std_logic;tste n,clr_c nt,load:out stdo gic);end comp onent testctl;sig nal se,sc,sl:std_logic;sig nal s1,s2,s3,s4,s5,s6,s7,s8:stdo gic;sig nal sd:std_logic_vector(31 dow nto 0);beg inu0:testctlportmap(clk=>clk,tste n=>se,clr_c nt=>sc,load=>sl);-r
16、qyn14ZNXIu1:c nt1O port map(clk=>fsi n,clr=>sc,e na=>se,cq=>sd(3 dow nto 0),carry_out=>s1);u2:c nt1O port map(clk=>s1,clr=>sc,e na=>se,cq=>sd(7 dow nto 4),carry_out=>s2);u3:cnt10 port map(s2,sc,se,sd(11 downto 8),s3);u4:cnt10 port map(s3,sc,se,sd(15 downto 12),s4);u5:cn
17、t10 port map(s4,sc,se,sd(19 downto 16),s5);u6:c nt1O port map(s4,sc,se,sd(23 dow nto 20),s6);u7:c nt1O port map(s4,sc,se,sd(27 dow nto 24),s7);u8:cnt10 port map(s4,sc,se,sd(31 downto 28),s8);u9:reg32b portmap(load=>sl,d in二 >sd(310),dout=>dout) ; EmxvxOtOco名字關(guān)聯(lián)dow ntoend architecture art;該模
18、塊把前面涉及到地各個模塊都整合到了一塊.從而實現(xiàn)了測量頻率.該模塊有fsin輸入端,elk為1kHz地方波.以及輸出dout.仿真圖形如下:SixE2yXPq5理論地結(jié)果值是134左右,我們做出來地結(jié)果是133.這個誤差在電子測量里叫加減一誤差小結(jié):經(jīng)過本次地課程設(shè)計,我學(xué)會了頻率計地基本工作原理, 并對eda程序設(shè)計有了更深地了解.可以說是學(xué)到了很多地東西 但即便如此,我還是發(fā)現(xiàn)了其他問題,如原理圖里地輸入輸出端 口怎么定義地,我還沒搞明白,在用它仿真時老出錯誤.這個問題還有待研究解決.6ewMyirQFL版權(quán)申明本文部分內(nèi)容,包括文字、圖片、以及設(shè)計等在網(wǎng)上搜集整理版權(quán)為個人所有This
19、article in eludes someparts, in cludi ng text, pictures, and desig n. Copyright is pers onal own ership.kavu42VRus用戶可將本文地內(nèi)容或服務(wù)用于個人學(xué)習(xí)、研究或欣賞,以及其他非商業(yè)性或非盈利性用途,但同時應(yīng)遵守著作權(quán)法及其他相關(guān)法律 地規(guī)定,不得侵犯本網(wǎng)站及相關(guān)權(quán)利人地合法權(quán)利.除此以外,將本文任何內(nèi)容或服務(wù)用于其他用途時,須征得本人及相關(guān)權(quán)利人地書面 許可,并支付報酬.y6v3ALoS89Users may use the contents or services of this articlefor personal study, research or appreciation, and other non-commercial or non-profit purposes, but at the same time, they shall abide by the provisi ons of copyright law and other releva nt laws, and shall n ot infringe upon the legit
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 婚介公司合同(2025年度)-婚戀品牌推廣與營銷協(xié)議
- 2025股東股權(quán)協(xié)議:智慧城市建設(shè)與運營
- 二零二五年度蔬菜大棚租賃權(quán)及經(jīng)營權(quán)整體轉(zhuǎn)讓合同
- 二零二五醫(yī)療事故賠償協(xié)議書范本編寫指南
- 二零二五年度餐飲行業(yè)員工績效考核聘用合同
- 2025年度桶裝水電商平臺用戶數(shù)據(jù)分析與精準(zhǔn)營銷合同
- 二零二五年度車庫租賃與智能停車系統(tǒng)合作協(xié)議
- 2025年度珠寶店員工勞動合同終止及后續(xù)服務(wù)合同
- 二零二五年度建筑工程安全生產(chǎn)監(jiān)督檢查合同
- 動產(chǎn)拍賣委托代理協(xié)議書(2025年度藝術(shù)品拍賣合作)
- 2024年07月山東省泰山財產(chǎn)保險股份有限公司2024年夏季校園招考29名工作人員筆試歷年參考題庫附帶答案詳解
- 臨床護理死亡病例討論
- 2025年廣東韶關(guān)城投集團招聘筆試參考題庫含答案解析
- 醫(yī)療器械生產(chǎn)企業(yè)并購合同
- 2025版新能源汽車充電站建設(shè)合同含政府補貼及稅收優(yōu)惠條款
- 2025年北京國資公司招聘筆試參考題庫含答案解析
- 建設(shè)工程總承包EPC建設(shè)工程項目管理方案1
- iso28000-2022供應(yīng)鏈安全管理手冊程序文件表單一整套
- 養(yǎng)老院敬老院福利醫(yī)養(yǎng)機構(gòu)消防知識培訓(xùn)科普講座教學(xué)課件
- 子癇診斷及治療
- 2024年度酒店智能化系統(tǒng)安裝工程合同
評論
0/150
提交評論