利用撥碼開關(guān)控制米字管進(jìn)行特殊字符顯示_第1頁
利用撥碼開關(guān)控制米字管進(jìn)行特殊字符顯示_第2頁
利用撥碼開關(guān)控制米字管進(jìn)行特殊字符顯示_第3頁
利用撥碼開關(guān)控制米字管進(jìn)行特殊字符顯示_第4頁
利用撥碼開關(guān)控制米字管進(jìn)行特殊字符顯示_第5頁
已閱讀5頁,還剩6頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、中北大學(xué)課 程 設(shè) 計(jì) 說 明 書   學(xué)生姓名:史平學(xué) 號: 08060441X26學(xué) 院:信息商務(wù)學(xué)院 專 業(yè): 電子科學(xué)與技術(shù)題 目:利用撥碼開關(guān)控制米字管進(jìn)行特殊字符顯示 指導(dǎo)教師: 王紅亮 段俊萍 職稱:講師     目 錄1、課程設(shè)計(jì)目的22、課程設(shè)計(jì)內(nèi)容及要求22.1、設(shè)計(jì)內(nèi)容22.2、設(shè)計(jì)要求23、設(shè)計(jì)方案及實(shí)現(xiàn)情況23.1、設(shè)計(jì)思路23.2、工作原理及框圖33.3、各模塊功能描述43.4、仿真結(jié)果63.5、試驗(yàn)箱驗(yàn)證情況74、課程設(shè)計(jì)總結(jié)95、參考文獻(xiàn)10 1、課程設(shè)

2、計(jì)目的(1)學(xué)習(xí)操作數(shù)字電路設(shè)計(jì)實(shí)驗(yàn)開發(fā)系統(tǒng),掌握米字管的工作原理及應(yīng)用。(2)掌握組合邏輯電路、時(shí)序邏輯電路的設(shè)計(jì)方法。(3)學(xué)習(xí)掌握可編程器件設(shè)計(jì)的全過程。2、課程設(shè)計(jì)內(nèi)容和要求2.1、設(shè)計(jì)內(nèi)容用VHDL語言編寫程序,使鍵盤控制米字管進(jìn)行特殊符號的顯示。2.2 設(shè)計(jì)要求1學(xué)習(xí)掌握撥碼開關(guān)控制模塊、米字管的工作原理及應(yīng)用;2. 熟練掌握VHDL編程語言,編寫撥碼開關(guān)控制模塊的控制邏輯;3. 仿真所編寫的程序,模擬驗(yàn)證所編寫的模塊功能;4. 下載程序到芯片中,硬件驗(yàn)證所設(shè)置的功能,能夠?qū)崿F(xiàn)特殊字符顯示;5. 整理設(shè)計(jì)內(nèi)容,編寫設(shè)計(jì)說明書。 3、 設(shè)計(jì)方案及實(shí)現(xiàn)情況3.1、設(shè)

3、計(jì)思路(1)相關(guān)知識:米字管是17段的數(shù)碼管,但是此次的課程設(shè)計(jì)的題目是進(jìn)行十六進(jìn)制數(shù)字的顯示,所以并不需要數(shù)碼管DP,即使用十六根數(shù)碼管。米字管的工作原理以及使用方法都和七段數(shù)碼管類似,但所能顯示的內(nèi)容較七段數(shù)碼管要豐富. 米字管的引腳圖如圖1。 圖1米字管的引腳圖(2)基本思路: 采用模塊化的設(shè)計(jì)思想,對于不同的功能用不同的程序模塊來實(shí)現(xiàn)。各個(gè)模塊之間保持相對獨(dú)立,這樣有利于針對不同的功能模塊進(jìn)行調(diào)試,以便增加整個(gè)系統(tǒng)的成功率;同時(shí)還為功能改進(jìn)和完善提供了方便,使其他無關(guān)模塊不受影響。基于這種設(shè)計(jì)思想,對本次課程設(shè)計(jì)題目分為以下兩個(gè)模塊:撥碼開關(guān)控制模塊,控制米自管顯示模塊??刂颇K由撥碼

4、開關(guān)組成,顯示模塊由米字管組成。兩模塊分別連接于FPGA芯片上。編寫相應(yīng)的程序下載至FPGA芯片上,使其完成相應(yīng)的功能。3.2、工作原理及框圖(1)工作原理:首先編寫程序,實(shí)現(xiàn)使8個(gè)撥碼開關(guān)工作,當(dāng)其中任意一個(gè)為高電平時(shí),控制米字管點(diǎn)亮相應(yīng)的數(shù)碼管,分別顯示8個(gè)特殊字符。用MaxplusII軟件仿真各個(gè)模塊以及總模塊。仿真無誤后選擇一個(gè)撥碼開關(guān)連接至米字管的選通端,選擇一個(gè)米字管工作。將米字管的17段數(shù)碼管的輸入端以及8個(gè)撥碼開關(guān)按照在MaxplusII軟件中的管腳分配,分別將其接至CPLD芯片上,將程序下載至芯片,最后使程序控制芯片,完成相應(yīng)的特殊字符的顯示。 ( 2 ) 邏輯功能表如表1特

5、殊字符撥碼開關(guān)顯示字符的邏輯段“+”Kin01001010100000000“-“Kin10001000100000000“*”Kin21110111000000000“/”Kin30100100000000000“>”Kin41001010100110000“<”Kin50010100000000000“”Kin60111000100000000“米”Kin71111111100000000 表1邏輯功能表3.3、各模塊功能描述本實(shí)驗(yàn)共分為兩個(gè)模塊,分別是控制模塊和顯示模塊。 (1) 撥碼開關(guān)控制模塊: 由撥碼開關(guān)組成,負(fù)責(zé)控制哪一個(gè)特殊字符的顯示。當(dāng)某一個(gè)撥碼開關(guān)處于高電平狀態(tài)

6、時(shí),與之相應(yīng)的特殊字符即顯示在米字管上。撥碼開關(guān)控制模塊框圖如圖2。 圖2撥碼開關(guān)控制模塊框圖撥碼開關(guān)控制模塊的VHDL程序:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity boma2 is port(a:in std_logic_vector(7 downto 0); q:out std_logic_vector(3 downto 0); end boma2; architecture rtl of boma2 is

7、 signal b:std_logic_vector(3 downto 0);begin process(a) begin case a is when "000000001"=>b<="0000" when "000000010"=>b<="0001" when "000000100"=>b<="0010" when "000001000"=>b<="0011" when "0

8、00100000"=>b<="0100" when "001000000"=>b<="0101" when "010000000"=>b<="0110" when "100000000"=>b<="0111" when others=>null; end case; q<=b; end process; end rtl;(2)米字管顯示模塊: 本模塊由米字管組成。米字管的17個(gè)輸入控制端

9、分別接至FPGA上,當(dāng)有相應(yīng)的撥碼開關(guān)為高電平時(shí),則米字管顯示相應(yīng)的特殊字符. 米字管顯示模塊框圖如圖3 圖3米字管顯示模塊框圖米字管顯示模塊的VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity xianshi is port(m:in std_logic_vector(3 downto 0); c:out std_logic_vector(15 downto 0); end xianshi; archit

10、ecture rtl of xianshi is signal n:std_logic_vector(15 downto 0); begin process(m) begin case m is when "0000"=>n<="1001010100000000" when "0001"=>n<="0001000100000000" when "0010"=>n<="1110111000000000" when "0011&quo

11、t;=>n<="0100100000000000" when "0100"=>n<="0010100000000000" when "0101"=>n<="0100001000000000" when "0110"=>n<="0111000100000000" when "0111"=>n<="1111111100000000" when others=&g

12、t;n<="1111111111111111" end case; c<=n; end process; end rtl;(3)整個(gè)實(shí)驗(yàn)電路圖如圖4所示。圖4整個(gè)實(shí)驗(yàn)電路圖3.4、仿真結(jié)果(1)撥碼開關(guān)控制模塊仿真結(jié)果如圖5。圖5撥碼開關(guān)控制模塊仿真時(shí)序圖(2)米字管顯示模塊仿真結(jié)果如圖6。 圖6米字管顯示模塊仿真時(shí)序圖(3)整個(gè)實(shí)驗(yàn)電路仿真結(jié)果如圖7。 圖7整個(gè)實(shí)驗(yàn)電路仿真時(shí)序圖3.5、實(shí)驗(yàn)箱驗(yàn)證情況(1)實(shí)驗(yàn)總連線圖如圖8。 圖8實(shí)驗(yàn)總連線圖(2)米字管顯示特殊字符如圖917 圖9撥碼顯示“+” 圖10撥碼顯示“” 圖11撥碼顯示“*” 圖12撥碼顯示“”

13、圖13撥碼顯示“” 圖14撥碼顯示“” 圖15撥碼顯示“米” 圖16撥碼顯示“” 圖17撥碼顯示“±”4、課程設(shè)計(jì)總結(jié)本次課程設(shè)計(jì),我的題目是用撥碼開關(guān)控制米字管顯示特殊字符。通過這次的課程設(shè)計(jì),第一個(gè)收獲就是熟悉了以前不怎么常用的米字管,了解到米字管實(shí)際上就是17段數(shù)碼管。它的工作原理以及使用都和我們常用的7段數(shù)碼管類似。其次就是熟悉了利用FPGA控制邏輯電路的方法,并且對于使用FPGA的優(yōu)點(diǎn)有了進(jìn)一步的體會。還有就是熟練掌握了Maxplus仿真軟件的使用流程。另外,在整個(gè)設(shè)計(jì)流程中,從最初的查閱資料確定設(shè)計(jì)思路,到編程仿真,到最后的用實(shí)驗(yàn)箱實(shí)現(xiàn),每一步都曾經(jīng)走過彎路,從發(fā)現(xiàn)錯誤,并且改正的過程中我學(xué)到了很多有關(guān)于實(shí)際應(yīng)用的知識,我想達(dá)到了動手實(shí)驗(yàn)的最終目的,鍛煉了我的耐心以及發(fā)現(xiàn)問題的能力。同時(shí)通過這次的課程設(shè)計(jì),對于可編程器件的設(shè)計(jì)和使用也有了更深入的認(rèn)識,為以后這方面的學(xué)習(xí)以及從

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論