![《硬件綜合》課程設(shè)計報告-基于VHDL語言的電梯控制器設(shè)計_第1頁](http://file1.renrendoc.com/fileroot_temp2/2021-2/16/e943e8d8-7abf-4401-bef5-a5cdc5956a53/e943e8d8-7abf-4401-bef5-a5cdc5956a531.gif)
![《硬件綜合》課程設(shè)計報告-基于VHDL語言的電梯控制器設(shè)計_第2頁](http://file1.renrendoc.com/fileroot_temp2/2021-2/16/e943e8d8-7abf-4401-bef5-a5cdc5956a53/e943e8d8-7abf-4401-bef5-a5cdc5956a532.gif)
![《硬件綜合》課程設(shè)計報告-基于VHDL語言的電梯控制器設(shè)計_第3頁](http://file1.renrendoc.com/fileroot_temp2/2021-2/16/e943e8d8-7abf-4401-bef5-a5cdc5956a53/e943e8d8-7abf-4401-bef5-a5cdc5956a533.gif)
![《硬件綜合》課程設(shè)計報告-基于VHDL語言的電梯控制器設(shè)計_第4頁](http://file1.renrendoc.com/fileroot_temp2/2021-2/16/e943e8d8-7abf-4401-bef5-a5cdc5956a53/e943e8d8-7abf-4401-bef5-a5cdc5956a534.gif)
![《硬件綜合》課程設(shè)計報告-基于VHDL語言的電梯控制器設(shè)計_第5頁](http://file1.renrendoc.com/fileroot_temp2/2021-2/16/e943e8d8-7abf-4401-bef5-a5cdc5956a53/e943e8d8-7abf-4401-bef5-a5cdc5956a535.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、長沙理工大學硬件綜合課程設(shè)計報告 張巧玲 學 院 計通學院 專 業(yè) 計算機科學與技術(shù) 班 級 計08-01班 學 號 學生姓名 指導教師 課程成績 完成日期 2011年6月30日課程設(shè)計任務(wù)書計算機與通信工程學院 計算機科學與技術(shù)專業(yè)課程名稱硬件綜合課程設(shè)計時間20102011學年第二學期1819周學生姓名張巧玲指導老師蔡爍題 目基于VHDL語言的電梯控制器設(shè)計主要內(nèi)容:本課程設(shè)計要求設(shè)計一個電梯控制器。要求如下:(1)每層電梯入口處設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有顧客到達層次的停站請求開關(guān)。(2)設(shè)有電梯入口處位置指示裝置及電梯運行模式(上升或下降)指示裝置。(3)電梯到達有停站請求的樓層,開門
2、指示燈亮,開門8秒后,電梯門關(guān)閉(開門指示燈滅),電梯繼續(xù)進行,直至執(zhí)行完最后一個請求信號后停留在當前層。 (4)能記憶電梯內(nèi)外所有請求,并按照電梯運行規(guī)則按順序響應(yīng),每個請求信號保留至執(zhí)行后消除。 (5)電梯初始狀態(tài)為一層開門狀態(tài)。要求:(1)要求能獨立地運用VHDL語言、EDA相關(guān)知識和Max Plus II仿真軟件,編制一個簡單的課程設(shè)計。(2)學生按要求編寫課程設(shè)計報告書,能正確闡述設(shè)計和實驗結(jié)果。(3)通過課程設(shè)計培養(yǎng)學生嚴謹?shù)目茖W態(tài)度和團隊協(xié)作精神。(4)學生應(yīng)抱著嚴謹認真的態(tài)度積極投入到課程設(shè)計過程中,認真查閱相應(yīng)文獻以及實現(xiàn),給出個人分析、設(shè)計以及實現(xiàn)。應(yīng)當提交的文件:(1)課
3、程設(shè)計報告。(2)課程設(shè)計附件(源程序、各類圖紙、實驗數(shù)據(jù)、仿真截圖等實證材料)。課程設(shè)計成績評定學 院 計通學院 專 業(yè) 計算機科學與技術(shù) 班 級 計08-01班 學 號 5 學生姓名 張巧玲 指導教師 蔡爍 完成日期 2011年6月30日 指導教師對學生在課程設(shè)計中的評價評分項目優(yōu)良中及格不及格課程設(shè)計中的創(chuàng)造性成果學生掌握課程內(nèi)容的程度課程設(shè)計完成情況課程設(shè)計動手能力文字表達學習態(tài)度規(guī)范要求課程設(shè)計論文的質(zhì)量指導教師對課程設(shè)計的評定意見綜合成績 指導教師簽字 年 月 日基于VHDL語言的電梯控制器設(shè)計學生姓名:張巧玲 指導老師:蔡爍 摘 要: 本設(shè)計就是基于電子設(shè)計自動化(Electro
4、nic Design Automation)技術(shù)中的甚高速集成電路硬件描述語言(Very High Speed Integrated Circuit Hardware Description Language)語言所開發(fā)的三層電梯控制程序,實驗調(diào)試平臺是Altera 公司的MAX +plus軟件。本程序具有VHDL語言設(shè)計里最為常用的三個模塊:實體entity,對控制器的實體(輸入輸出)端口進行定義;結(jié)構(gòu)體architecture,對控制器內(nèi)部的信號端以及寄存器進行定義;進程執(zhí)行單元process,對控制器的所有輸入輸出端口、內(nèi)部信號端口以及寄存器進行功能、行為描述。通過程序調(diào)試及運行仿真,結(jié)
5、果表明,本程序可以完成:電梯運行所在樓層顯示、電梯運行方向指示、關(guān)門延時設(shè)置等。本設(shè)計對更高層的電梯控制設(shè)計具有一定的拓展性。 關(guān)鍵詞: 電梯控制;程序設(shè)計;EDA;VHDL;MAX +plusDesign of Lift Controller Based on VHDL Language Student: Zhang qiaoling Advisor:CaishuoAbstract: The lift ,as the modernized result ,are entered in our life in last century .This thesis designs the thre
6、e-floor lift control on the basis of the electron basic on the electronic design automation(EDA) technologys the very high-speed integrated circuit hardware describe language(VHDL) ,it is debugged in the Altera companys MAX +plus software as a platform in experiment .This procedure has commonly most
7、 used three pieces of module in language designs :the entity ,the architecture and the process .Through testing the connection of the EDA case ,realize the following main functions:The lifts floor shows , the lift operation direction shows ;closes prolonging ,etc. The design can make some evolution
8、for designing to on the senior level lift control. Key word: lift control; programmer; EDA; VHDL; MAX +plus目錄1 引 言11.1 問題的提出11.2 設(shè)計目的11.3 電梯控制的未來22 設(shè)計的基礎(chǔ)依據(jù)32.1 EDA技術(shù)介紹32.2 VHDL語言介紹32.2.1 VHDL的簡介32.2.2 VHDL語言的特點42.2.3 VHDL的設(shè)計流程43 程序設(shè)計及調(diào)試63.1 設(shè)計方案63.1.1 控制器的設(shè)計方案63.1.2 三層電梯控制器的設(shè)計思路63.2 三層電梯控制器的綜合設(shè)計63.2
9、.1 三層電梯控制器的實體設(shè)計63.2.2 三層電梯控制器的結(jié)構(gòu)體設(shè)計73.2.3 三層電梯控制器VHDL設(shè)計83.2.4三層電梯控制器的模塊93.3 程序調(diào)試94 程序仿真134.1 波形輸入建立134.2三層電梯控制器的仿真145 結(jié)束語17致謝18參考文獻19附錄201 引 言1.1 問題的提出當今世界,部分地區(qū)人口高度密集,人和土地資源短缺的矛盾日趨激化。這就注定了必須合理地利用土地去解決人和土地的矛盾。而興建高層建筑是其中的有效措施之一。因此,能使人們快速、便捷地到達目的樓層的電梯便應(yīng)運而生了。在一些發(fā)達國家和地區(qū),人均電梯擁有數(shù)量一般在每萬人30臺以上,某些國家甚至達到每萬人120
10、臺以上,隨著城鎮(zhèn)化程度的加大,電梯市場會更加繁榮。中國的電梯市場增長也很樂觀,目前,每年增長率為15%18%。隨著電梯普及率的升溫,人們對電梯的要求也會越來越高。如何更安全、更快捷地到達目的樓層,也就成了人們對電梯最為根本的要求。而電梯系統(tǒng)里控制這方面技術(shù)參數(shù)的是電梯控制系統(tǒng)。因此,控制系統(tǒng)的設(shè)計就成了在電梯設(shè)計領(lǐng)域里最為核心的技術(shù)。在電子技術(shù)飛速發(fā)展的今天,現(xiàn)代電子產(chǎn)品幾乎滲透到了社會的各個領(lǐng)域,有力地推動了社會生產(chǎn)力的發(fā)展和社會信息化程度的提高,同時也使現(xiàn)代電子產(chǎn)品進一步提高 ,加速了電子設(shè)計激素的普及進程及技術(shù)革新。電子設(shè)計在日常生活和物質(zhì)產(chǎn)品生產(chǎn)都占到了舉足輕重的地位,這尤其體現(xiàn)在其對
11、電子產(chǎn)品的開發(fā)和設(shè)計上。面對如此廣袤的電梯市場,所謂“科技就是第一生產(chǎn)力”,處于科技前沿的電子設(shè)計技術(shù)很自然地就與電梯控制設(shè)計一拍即合,給設(shè)計師們以巨大的設(shè)計空間。因此,本設(shè)計就是希望在以開發(fā)更安全、更快捷的三層電梯控制系統(tǒng)為前提下,結(jié)合電子設(shè)計技術(shù),對電梯控制進行設(shè)計。1.2 設(shè)計目的三層電梯廣泛應(yīng)用在大型的貨運之中。其使用便捷,貨運周期短,效率高,成本低,對貨運事業(yè)具有普相當?shù)慕?jīng)濟價值。在客運上,三層電梯雖然涉及樓層不高,應(yīng)用范圍不大,但就特殊而言,可以為一些上下樓層不方便的人們提供相當?shù)膸椭疹櫫诉@些特殊群體的感情。因此,在某些層面上說,三層電梯的設(shè)計也具有一定的社會價值。本著“一理通
12、,百理明”的原則,本設(shè)計希望通過在簡單的三層電梯控制設(shè)計之中如何解決升、降請求信號因電梯所處狀態(tài)而產(chǎn)生的沖突等問題發(fā)散出去,由此及彼,對高層電梯控制的開發(fā)作一個技術(shù)的鋪墊,對高層電梯設(shè)計者提供一個基礎(chǔ)。針對目前中小型電梯所能實現(xiàn)的功能,本控制設(shè)計擬實現(xiàn)以下功能:(1)每層電梯入口處設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有顧客到達層次的停站請求開關(guān)。(2)設(shè)有電梯入口處位置指示裝置及電梯運行模式(上升或下降)指示裝置。(3)電梯到達有停站請求的樓層,開門指示燈亮,開門8秒后,電梯門關(guān)閉(開門指示燈滅),電梯繼續(xù)進行,直至執(zhí)行完最后一個請求信號后停留在當前層。 (4)能記憶電梯內(nèi)外所有請求,并按照電梯運行規(guī)則
13、按順序響應(yīng),每個請求信號保留至執(zhí)行后消除。 (5)電梯運行規(guī)則一當電梯處于上升模式時,只響應(yīng)比電梯所在位置高的上樓請求信號,由下而上逐個執(zhí)行,直到最后一個上樓請求執(zhí)行完畢;如果高層有下樓請求,則直接升到由下樓請求的最高層,然后進入下降模式。當電梯處于下降模式時則與上升模式相反。 (6)電梯初始狀態(tài)為一層開門狀態(tài)。1.3 電梯控制的未來電梯產(chǎn)業(yè)將走向信息化、網(wǎng)絡(luò)化的道路。電梯控制系統(tǒng)如何與網(wǎng)絡(luò)技術(shù)相結(jié)合將是未來電梯設(shè)計的主流趨勢。在21世紀的今天如何提供用戶滿意產(chǎn)品和服務(wù)已成為關(guān)系到各企業(yè)生死存亡問題。電梯上網(wǎng)能確保為客戶提供更優(yōu)質(zhì)全程的服務(wù)。在將來各大品牌廠家為了生存和發(fā)展都會在公共網(wǎng)絡(luò)系統(tǒng)中
14、建立自己電梯網(wǎng)站(電梯專用平臺),這也是一條必由之路。電梯上網(wǎng)主要能實現(xiàn)以下功能:(1)用網(wǎng)絡(luò)把所有電梯監(jiān)管起來,保證電梯安全運行,確保乘客安全。(2)當電梯出現(xiàn)故障時,電梯通過網(wǎng)絡(luò)向客戶服務(wù)中心發(fā)出信號使維保人員能及時準確了解電梯出現(xiàn)故障的原因及相關(guān)信息,客戶的人身安全是否受到威脅,并在第一時間內(nèi)趕赴事故現(xiàn)場進行搶修,同時通過網(wǎng)絡(luò)對在電梯內(nèi)乘客安慰,把電梯出現(xiàn)故障的負面影響降到最低。 (3)也可以通過電梯網(wǎng)絡(luò)在規(guī)定時間內(nèi)自動掃描每臺電梯內(nèi)各部件以發(fā)現(xiàn)事故隱患做到事先維修,減少停梯時間,提高企業(yè)的服務(wù)質(zhì)量。2 設(shè)計的基礎(chǔ)依據(jù)現(xiàn)代電子設(shè)計技術(shù)的核心是EDA技術(shù)。基于EDA技術(shù)開發(fā)的實現(xiàn)三層電梯自
15、動控制與目前主流的利用可編程邏輯控制器實現(xiàn)電梯控制緊密相連。硬件描述語言是EDA技術(shù)的重要組成部分,VHDL是作為電子設(shè)計主流硬件的描述語言。使用VHDL語言進行程序的設(shè)計,在MAX +plus軟件上對程序進行編譯、仿真。在MAX +plus平臺上的開發(fā)具有編程軟件具有采自易學易懂的梯形圖語言、控制靈活方便、抗干擾能力強、運行穩(wěn)定可靠等優(yōu)點。2.1 EDA技術(shù)介紹 20世紀90年代,國際上電子和計算機技術(shù)較先進的國家,一直在積極探索新的電子電路設(shè)計方法,并在設(shè)計方法、工具等方面進行了徹底的變革,取得了巨大成功。在電子技術(shù)設(shè)計領(lǐng)域,可編程邏輯器件(如CPLD、FPGA)的應(yīng)用,已得到廣泛的普及,
16、這些器件為數(shù)字系統(tǒng)的設(shè)計帶來了極大的靈活性。這些器件可以通過軟件編程而對其硬件結(jié)構(gòu)和工作方式進行重構(gòu),從而使得硬件的設(shè)計可以如同軟件設(shè)計那樣方便快捷。這一切極大地改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計方法、設(shè)計過程和設(shè)計觀念,促進了EDA技術(shù)的迅速發(fā)展。EDA是電子設(shè)計自動化(Electronic Design Automation)的縮寫,在20世紀90年代初從計算機輔助設(shè)計(CAD)、計算機輔助制造(CAM)、計算機輔助測試(CAT)和計算機輔助工程(CAE)的概念發(fā)展而來的。EDA技術(shù)就是以計算機為工具,設(shè)計者在EDA軟件平臺上,用硬件描述語言HDL完成設(shè)計文件,然后由計算機自動地完成邏輯編譯、化簡、
17、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標芯片的適配編譯、邏輯映射和編程下載等工作。EDA技術(shù)的出現(xiàn),極大地提高了電路設(shè)計的效率和可靠性,減輕了設(shè)計者的勞動強度。2.2 VHDL語言介紹2.2.1 VHDL的簡介VHDL(Very-High-Speed Integrated Circuit HardwareDescription Language),翻譯成中文就是超高速集成電路硬件描述語言。因此它的應(yīng)用主要是應(yīng)用在數(shù)字電路的設(shè)計中。誕生于1982年。自IEEE公布了VHDL的標準版本,IEEE-1076(簡稱87版)之后,各EDA公司相繼推出了自己的VHDL設(shè)計環(huán)境,或宣布自己的設(shè)計
18、工具可以和VHDL接口。此后VHDL在電子設(shè)計領(lǐng)域得到了廣泛的接受,并逐步取代了原有的非標準的硬件描述語言。1993年,IEEE對VHDL進行了修訂,從更高的抽象層次和系統(tǒng)描述能力上擴展VHDL的內(nèi)容,公布了新版本的VHDL,即IEEE標準的1076-1993版本,(簡稱93版)?,F(xiàn)在,VHDL和Verilog作為IEEE的工業(yè)標準硬件描述語言,又得到眾多EDA公司的支持,在電子工程領(lǐng)域,已成為事實上的通用硬件描述語言。有專家認為,在新的世紀中,VHDL于Verilog語言將承擔起大部分的數(shù)字系統(tǒng)設(shè)計任務(wù)。2.2.2 VHDL語言的特點(1)與其他的硬件描述語言相比,VHDL具有更強的行為描述
19、能力,從而決定了他成為系統(tǒng)設(shè)計領(lǐng)域最佳的硬件描述語言。強大的行為描述能力是避開具體的器件結(jié)構(gòu),從邏輯行為上描述和設(shè)計大規(guī)模電子系統(tǒng)的重要保證。(2)VHDL豐富的仿真語句和庫函數(shù),使得在任何大系統(tǒng)的設(shè)計早期就能查驗設(shè)計系統(tǒng)的功能可行性,隨時可對設(shè)計進行仿真模擬。(3)VHDL語句的行為描述能力和程序結(jié)構(gòu)決定了他具有支持大規(guī)模設(shè)計的分解和已有設(shè)計的再利用功能。符合市場需求的大規(guī)模系統(tǒng)高效,高速的完成必須有多人甚至多個代發(fā)組共同并行工作才能實現(xiàn)。(4)對于用VHDL完成的一個確定的設(shè)計,可以利用EDA工具進行邏輯綜合和優(yōu)化,并自動的把VHDL描述設(shè)計轉(zhuǎn)變成門級網(wǎng)表。(5)VHDL對設(shè)計的描述具有相
20、對獨立性,設(shè)計者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計實現(xiàn)的目標器件是什么,而進行獨立的設(shè)計。2.2.3 VHDL的設(shè)計流程(1)設(shè)計規(guī)范的定義明確設(shè)計的目的,進行設(shè)計的總體規(guī)劃。分析設(shè)計要求,以及自己要達到的設(shè)計目的和目標。(2)采用VHDL進行設(shè)計描述這部分包括設(shè)計規(guī)劃和程序的編寫。設(shè)計規(guī)劃主要包括設(shè)計方式的選擇及是否進行模塊劃分。設(shè)計方式一般包括直接設(shè)計,自頂向下和自底向下設(shè)計。(3)VHDL程序仿真對于某些人而言,仿真這一步似乎是可有可無的。但是對于一個可靠的設(shè)計而言,任何設(shè)計最好都進行仿真,以保證設(shè)計的可靠性。另外,對于作為一個獨立的設(shè)計項目而言,仿真文件的提供足可以證明你設(shè)計的完整
21、性。(4)綜合、優(yōu)化和布局布線綜合指的是將設(shè)計描述轉(zhuǎn)化成底層電路的表示形式,其結(jié)果是一個網(wǎng)表或者是一組邏輯方程;優(yōu)化,這個主要是為了提高程序的執(zhí)行效率及減少資源的利用;布局布線,指的是將邏輯關(guān)系轉(zhuǎn)化成電路連接的方式。(5)仿真這一步主要是為了確定你的設(shè)計在經(jīng)過布局布線之后,是不是還滿足你的設(shè)計要求。3 程序設(shè)計及調(diào)試3.1 設(shè)計方案3.1.1 控制器的設(shè)計方案控制器的功能模塊如圖1所示,包括主控制器、分控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達的樓層,通過主控制器的處理,電梯開始運行,狀態(tài)顯示器顯示電梯的運行狀態(tài),電梯所在樓層數(shù)通過譯碼器譯碼從而在樓層顯示器中
22、顯示。分控制器把有效的請求傳給主控制器進行處理,同時顯示電梯的運行狀態(tài)和電梯所在樓層數(shù)。由于分控制器相對簡單很多,所以主控制器是核心部分。圖1 電梯控制器原理圖3.1.2 三層電梯控制器的設(shè)計思路電梯控制器采用狀態(tài)機來實現(xiàn),思路比較清晰??梢詫㈦娞莸却拿棵腌娨约伴_門、關(guān)門都看成一個獨立的狀態(tài)。由于電梯又是每秒上升或下降一層,所以就可以通過一個統(tǒng)一的1秒為周期的時鐘來觸發(fā)狀態(tài)機。根據(jù)電梯的實際工作情況,可以把狀態(tài)機設(shè)置10個狀態(tài),分別是“電梯停留在第1層”、“開門”、“關(guān)門”、“開門等待第1秒”、“開門等待第2秒”、“開門等待第3秒”、“開門等待第4秒”、“上升”、“下降”和“停止狀態(tài)”。各個
23、狀態(tài)之間的轉(zhuǎn)換條件可由上面的設(shè)計要求所決定。3.2 三層電梯控制器的綜合設(shè)計3.2.1 三層電梯控制器的實體設(shè)計首先考慮輸入端口,一個異步復位端口reset,用于在系統(tǒng)不正常時回到初始狀態(tài);在電梯外部,必須有升降請求端口,一層是最低層,不需要有下降請求,三層是最高層,不需要有上升請求,二層則上升、下降請求端口都有;在電梯的內(nèi)部,應(yīng)該設(shè)有各層停留的請求端口:一個電梯時鐘輸入端口,該輸入時鐘以1秒為周期,用于驅(qū)動電梯的升降及開門關(guān)門等動作;另有一個按鍵時鐘輸入端口,時鐘頻率比電梯時鐘高。其次是輸出端口,有升降請求信號以后,就得有一個輸出端口來指示請求是否被響應(yīng),有請求信號以后,該輸出端口輸出邏輯l
24、。被響應(yīng)以后則恢復邏輯O;同樣,在電梯內(nèi)部也應(yīng)該有這樣的輸出端口來顯示各層停留是否被響應(yīng);在電梯外部,需要一個端口來指示電梯現(xiàn)在所處的位置;電梯開門關(guān)門的狀態(tài)也能用一個輸出端口來指示;為了觀察電梯的運行是否正確,可以設(shè)置一個輸出端口來指示電梯的升降狀態(tài)。 3.2.2 三層電梯控制器的結(jié)構(gòu)體設(shè)計 首先說明一下狀態(tài)。狀態(tài)機設(shè)置了lO個狀態(tài),分別是電梯停留在l層(stoponl)、開門(dooropen)、關(guān)門(doorclose)、開門等待第1秒(waitl)、開門等待第2秒(wait2)、開門等待第3秒(wait3)、開門等待第4秒(wait4)、上升(up)、下降(down)和停止(stop)
25、。在實體說明定義完端口之后,在結(jié)構(gòu)體architecture和begin之間需要有如下的定義語句,來定義狀態(tài)機。 type state_typeis(stopon1,dooropen,doorclose,waitl,wait1,wait2,wait3,wait4,up,down,stop);signal state:state_type:=stopon1;signal clearup,cleardn,buttclk,fliclk:std_logic;signal q : std_logic_vector(3 downto 0); 在結(jié)構(gòu)體中,設(shè)計了倆個進程互相配合,一個是狀態(tài)機進程作為主要進程
26、,另外一個是信號燈控制進程作為輔助進程。狀態(tài)機進程中的很多判斷條件是以信號燈進程產(chǎn)生的信號燈信號為依據(jù)的,而信號燈進程中信號燈的熄滅又是由狀態(tài)機進程中傳出的clearup和cleardn信號來控制。在狀態(tài)機進程中,在電梯的上升狀態(tài)中,通過對信號燈的判斷,決定下一個狀態(tài)是繼續(xù)上升還是停止;在電梯下降狀態(tài)中,也是通過對信號燈的判斷,決定下一個狀態(tài)是繼續(xù)下降還是停止;在電梯停止狀態(tài)中,判斷是最復雜的,通過對信號的判斷,決定電梯是上升、下降還是停止。在信號燈控制進程中,由于使用了專門的頻率較高的按鍵時鐘,所以使得按鍵的靈敏度增大,但是時鐘頻率不能過高,否則容易使按鍵過于靈敏。按鍵后產(chǎn)生的點亮的信號燈(
27、邏輯值為1)用于作為狀態(tài)機進程中的判斷條件,而clearup和cleardn信號為邏輯l使得相應(yīng)的信號燈熄滅。3.2.3三層電梯控制器VHDL設(shè)計三層電梯控制器的VHDL描述模塊流程如圖2所示。三層電梯控制器的源代碼(見附錄)可知: 圖2 三層電梯控制器的VHDL描述(1)本程序設(shè)計調(diào)用了IEEE庫,IEEE庫是VHDL設(shè)計中最為常用的庫,它包含有IEEE標準的程序包和其他一些支持工業(yè)標準的程序包。 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.
28、all; (2)以關(guān)鍵詞ENTITY引導,END ENTITY flift 結(jié)尾的語句部分,成為實體。VHDL的實體描述了電路器件的外部情況及各信號端口的基本性質(zhì)。本設(shè)計定義了關(guān)于三層電梯控制器用到的各類時鐘、異步復位按鍵、信號燈指示、電梯的請求。端口模式主要就是IN、BUFFER、OUT端口。及定義了各端口信號的數(shù)據(jù)類型,主要是STD_LOGIC(標準邏輯位數(shù)據(jù)類型)、INTEGER(整數(shù)類型)、STD_LOGIC_VECTOR(標準邏輯矢量數(shù)據(jù)類型)。這些都滿足上面調(diào)用的IEEE庫中的程序包。entity flift isport(clk,reset,up1,up2,down2,down3
29、,stop1,stop2,stop3: in std_logic; uplight,downlight,stoplight: buffer std_logic_vector(3 downto 1); udsig:buffer std_logic; position:buffer integer range 1 to 3; doorlight:out std_logic);end flift; (3)以關(guān)鍵詞ARCHITECTURE引導,END ARCHITECTURE behav結(jié)尾的語句部分,稱為結(jié)構(gòu)體。結(jié)構(gòu)體負責描述電路器件的內(nèi)部邏輯功能或電路結(jié)構(gòu)。本設(shè)計定義了lO個狀態(tài)。描述了在三層電梯
30、中出現(xiàn)的各種可能的情況作為控制電梯的主要進程。信號燈控制作為輔助進程。(具體語句見附錄)3.2.4 三層電梯控制器的模塊 圖3 三層電梯控制器的模塊3.3 程序調(diào)試 (1)建立好工作庫目錄,以便設(shè)計工程項目的存儲,打開MAX +plus軟件,其初始界面如圖4所示。圖4 MAX +plus軟件初始界面(2)在工具欄中選擇“新建”按鈕。在選擇輸入路徑時,選擇“Text Editor File”以進行文本輸入,如圖5所示。圖5 輸入路徑選擇為文本輸入(3) 在文本輸入界面內(nèi)進行程序輸入,如圖6所示。圖6 程序輸入界面(4)輸入完畢后,需要對程序進行保存。文件名和實體定義的符號必須保持一致,即文件名為
31、flift。因為是使用VHDL語言,所以文件后綴名須改成vhd,詳見圖7所示。圖7 文本輸入保存界面 (5)保存以后,對程序進行編譯。在編譯前,需要把文件設(shè)置為頂層文件,或稱工程文件Project。選擇菜單“File”“Project”“Set Project to Current File”,當前的文件即被指定。詳見圖8所示。圖8 設(shè)置頂層文件 (6)在“MAX +plus”下拉按鈕里選擇“Compiler”,對程序進行編譯,此時,MAX +plus軟件會對程序進行糾錯等處理。當程序被確認無誤以后會出現(xiàn)如下界面,如圖9所示。第一次編譯時由于實體名和保存時的名字不相符,所以出現(xiàn)了一個錯誤,改正
32、后無誤。圖9 編譯完成圖(7)在編譯完成以后,打開程序頁面,選擇“File”“Edit Symbol”,出現(xiàn)引腳圖,如下圖10所示。圖10 引腳圖4 程序仿真4.1 波形輸入建立(1)編譯完全通過后,新建波形編輯器進行設(shè)計仿真。通過“新建”按鈕,選擇“Waveform Editor file”,詳見如圖11所示。圖11 新建波形編輯器(2)新建波形編輯器后,對文件進行保存。同樣是使用相同的文件名flift,后綴則改為scf。(3)在編輯器的Name 欄點擊鼠標右鍵,選擇“Enter Nodes from SNF”選擇添加需要觀察的節(jié)點信號,如圖12所示。圖12 添加節(jié)點信號 (4)通過編輯器左
33、側(cè)的一些快捷按鈕對所加節(jié)點中的輸入信號進行相關(guān)的賦值后,就可對程序進行仿真,觀察輸出信號,得出結(jié)論。如對時鐘信號clk符默認值,如圖13所示。圖13 對clk信號賦值圖(5)在進行仿真前,還需對仿真截止時間和最小單位時間進行一下調(diào)整。在“File”欄對截止時間“End Time”設(shè)置為“10.0us”,如圖14所示。圖14 截止時間設(shè)置圖(6)得出初始仿真圖,如圖15所示。圖15 初始仿真界面4.2 三層電梯控制器的仿真 (1)圖16所示仿真的是在第二層電梯外部有上升請求,也就是up2信號的一個脈沖,電梯原先停在第一層,這可由當電梯門打開時,position信號由0變?yōu)?可知,doorligh
34、t信號1表示開門,0表示關(guān)門。再看uplight信號燈,當二層有上升請求的時候,它的值由0變到2。電梯將執(zhí)行電梯控制器的命令由第一層上升到第二層,然后電梯門打開,乘客進入電梯以后,在電梯內(nèi)部要求上升到第三層,也就是stop3 產(chǎn)生一個脈沖,此時stoplight由0變?yōu)?,即在第三層有停的請求,電梯上升到第三層,停留在第三層。由于沒有下降請求信號,所以downlight信號燈的值一直都為0。圖16 有上升請求的波形 (2)圖17所示仿真的是在第三層電梯外部有下降請求,也就是down3信號的一個脈沖,電梯原先停在第一層,這可由當電梯門打開時,position信號由0變?yōu)?可知,doorlight
35、信號1表示開門,0表示關(guān)門。再看downlight信號燈當三層有下降請求的時候,它的值由0變到4。電梯將執(zhí)行電梯控制器的命令由第一層上升到第三層,然后電梯門打開,乘客進入電梯以后,在電梯內(nèi)部要求下降到第一層或者第二層。即按按鈕stop1或stop2。由于沒有上升請求信號和在哪個樓層停留的信號,所以downlight信號燈和stoplight信號燈的值一直都為0,電梯也停留在三層。圖17 有下降請求的波形 (3)圖18所示,電梯首先由第一層上升到第二層,開門,乘客進入,關(guān)門,電梯繼續(xù)上升到第三層,開門,乘客出去,關(guān)門,又有乘客要進來,開門,乘客進入,關(guān)門,下降到第二層,開門,乘客出去,再有乘客進
36、來,關(guān)門,繼續(xù)下降到第一層,開門乘客出去,再有乘客進來,關(guān)門,電梯上升到第三層,開門,乘客出去,關(guān)門,電梯停留在第三層,等待下一個命令。圖18 復雜波形5 結(jié)束語 兩周的課程設(shè)計結(jié)束了,在此次課程設(shè)計中,我收獲了許多,不僅在知識學習方面,而且在動手能力方面、團結(jié)合作能力等方面都有了一定的成就。 首先,在知識學習方面,雖然我們開始有在數(shù)字電子技術(shù)這一門課程中接觸過VHDL這一門語言,但是我們都還不是很了解,只知道它由實體、結(jié)構(gòu)體組成,其余的就不是很了解,但是在此次課程設(shè)計中,我有了比較詳細的了解,基本能夠?qū)懗鲆粋€比較完整的程序。這兩周的自學中,我也體會到了自學得快樂。 其次,在動手能力方面,很多
37、時候我都是知識不能夠結(jié)合實踐,所以很多時候我都不知道應(yīng)該怎么樣開始,不過此次由于有了老師的詳細講解,所以,我能夠很快知道接下來應(yīng)該做什么,有什么作用,得出什么結(jié)果。最后,在團結(jié)合作能力方面,雖然每個人有每個人的題目,但是我們還是充分發(fā)揮了我們的團結(jié)合作能力,找到程序的同學幫沒找到的同學找,有什么錯誤的大家一起討論,一起找資料解決,最后,實在不行還有我們堅強的后盾我們的指導老師,所以我們合作得很快樂。在這次設(shè)計過程中,體現(xiàn)出自己單獨設(shè)計的能力以及綜合運用知識的能力,體會了學以致用、突出自己勞動成果的喜悅心情,從中發(fā)現(xiàn)自己平時學習的不足和薄弱環(huán)節(jié),從而加以彌補。同時,也再次體會到了團結(jié)合作的快樂。
38、致謝在本次課程設(shè)計中,首先,我要感謝老師,他們永遠在這里或那里為我們解答著各種各樣的問題,無論是什么問題老師都會認真對待,為我們想出處理方案,每個老師都嚴謹細致、一絲不茍,我們的課程設(shè)計就是因為有了你們的幫助才能夠如此順利的完成,我記得我有一個問題比較棘手,老師是犧牲了他的個人時間為我們整整講解了九十多分鐘,直到我們完全弄懂為止,所以我很感謝老師們,特別是這種精神在鼓舞著我,讓我努力著。我還要感謝幫助過我的同學,謝謝你們對我的幫助和支持,讓我感受同學的友情,同時,我也要感謝問我問題的同學,由于你們我學到了更多,也體會了更多快樂。 參考文獻1毛宗源等,微機控制電梯M,國防工業(yè)出版社出版,1996
39、2李鼎培,當前電梯研制開發(fā)技術(shù)動態(tài)J,中國電梯,19953梁延東主編。電梯控制技術(shù)M。中國建筑工業(yè)出版社,19974張漢杰,王錫鐘編著。現(xiàn)代電梯控制技術(shù)M。哈爾濱工業(yè)大學出版社,19965Kim C B,Kyoung Aseong,et a1A fuuzzy aproach to elevator group controlsystemIEEE Trans SystDMan,Cybern,1995,256SIEMENS公司編著,西門子(中國)有限公司譯Z。SIEMATIC S一7 300可編程序控制器硬件和安裝手冊。2001年5月7SIEMENS公司編著,西門子(中國)有限公司譯Z。SIEMT
40、IC STEP7 V50系統(tǒng)手冊。2001年5月8武自芳,虞鶴松著。微機控制系統(tǒng)及其應(yīng)用M。西安交通大學出版社,19989汪曉光,孫曉瑛等編著??删幊炭刂破髟砑皯?yīng)用(上下冊)M。機械工業(yè)出版社,200110溫照方主編。SIMATIC S7300可編程序控制器教程M。北京理工大學出版社,2002 附錄程序名: flift.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity flift isport(clk,reset,up1
41、,up2,down2,down3,stop1,stop2,stop3: in std_logic; uplight,downlight,stoplight: buffer std_logic_vector(3 downto 1); udsig:buffer std_logic; position:buffer integer range 1 to 3; doorlight:out std_logic);end flift;architecture behav of flift istype state_type is(stopon1,dooropen,doorclose,waitl,wait1
42、,wait2,wait3,wait4,up,down,stop);signal state:state_type:=stopon1;signal clearup,cleardn,buttclk,fliclk:std_logic;signal q : std_logic_vector(3 downto 0);begin process(clk) begin if reset=1 then q=0000; elsif rising_edge(clk) then q=q+1; end if; buttclk=q(0); fliclk=q(3); end process; cont:process(r
43、eset,fliclk) variable pos:integer range 3 downto 1; begin if reset=1 then state=stopon1; clearup=0; cleardndoorlight=1; position=1; pos:=1; statestateclearup=0;cleardn=0;statestatestatedoorlight=0; if udsig=0 then -上升情況 if position=3 then -電梯在三樓 if stoplight=000and uplight=000and downlight=000 then udsig=1;state=doorclose; else udsig=1;state=down; end if; elsif position=2 then -電梯在二樓 if stoplight=000and uplight=000and downlight=000 then udsig=0;state=doorclose; elsif stoplight(3)=1 or downlight(3)=1 then udsig=0;state=up; else udsig=1;state=down; end if; elsif position=1 then -電梯在一
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 中國工商銀行補償貿(mào)易借款合同(6篇)
- 2024酒店客房領(lǐng)班年終總結(jié)(7篇)
- 聘用合同模板(30篇)
- 2024年學校開展防災減災工作總結(jié)(9篇)
- 2024-2025學年第2課西方國家古代和近代政治制度的演變-勤徑學升高中歷史選擇性必修1同步練測(統(tǒng)編版2019)
- 2025年專利申請出售協(xié)議
- 2025年化工市場代理購銷居間協(xié)議書
- 2025年醫(yī)療機構(gòu)內(nèi)科承包業(yè)務(wù)協(xié)議
- 2025年授權(quán)代理合作合同標準版本
- 2025年電子線圈設(shè)備項目申請報告模板
- 《教育強國建設(shè)規(guī)劃綱要(2024-2035年)》全文
- 臨床提高膿毒性休克患者1h集束化措施落實率PDCA品管圈
- DB53∕T 1269-2024 改性磷石膏用于礦山廢棄地生態(tài)修復回填技術(shù)規(guī)范
- GB/T 1346-2001水泥標準稠度用水量、凝結(jié)時間、安定性檢驗方法
- FZ/T 25001-2012工業(yè)用毛氈
- 中國工運史知識競答附答案
- 快遞運營實務(wù)項目2快遞網(wǎng)點業(yè)務(wù)管理課件
- 瑞幸咖啡SWOT分析
- DL∕T 1867-2018 電力需求響應(yīng)信息交換規(guī)范
- “大水利”概念及其意義
- 小學生品德發(fā)展水平指標評價體系(小學)
評論
0/150
提交評論