![基于FPGA的數(shù)字電壓表的設(shè)計與實現(xiàn)_第1頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/2/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b55/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b551.gif)
![基于FPGA的數(shù)字電壓表的設(shè)計與實現(xiàn)_第2頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/2/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b55/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b552.gif)
![基于FPGA的數(shù)字電壓表的設(shè)計與實現(xiàn)_第3頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/2/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b55/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b553.gif)
![基于FPGA的數(shù)字電壓表的設(shè)計與實現(xiàn)_第4頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/2/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b55/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b554.gif)
![基于FPGA的數(shù)字電壓表的設(shè)計與實現(xiàn)_第5頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/2/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b55/dc05ef5c-5b77-4cdd-a0dc-6e1b095c3b555.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、基基于于fpga的的數(shù)數(shù)字字電電壓壓表表的的設(shè)設(shè)計計與與實實現(xiàn)現(xiàn)design and implementation ofdigital voltage meter based on fpga專專 業(yè):電子信息工程業(yè):電子信息工程姓姓 名:名: 指指 導(dǎo)導(dǎo) 教教 師:師:申請學(xué)位級別:申請學(xué)位級別: 學(xué)學(xué) 士士論文提交日期:論文提交日期: 學(xué)位授予單位:學(xué)位授予單位: 摘摘 要要數(shù)字電壓表簡稱 dvm,是一種通過采用數(shù)字化測量技術(shù),把連續(xù)的模擬量(直流輸入電壓)轉(zhuǎn)換成離散的、不連續(xù)的數(shù)字形式,并將轉(zhuǎn)化結(jié)果加以顯示的儀表。本設(shè)計研究的電壓表主要的設(shè)計核心是 xilinx 公司的 vritex-4
2、fpga 器件,主要分為下面幾部分:數(shù)據(jù)處理模塊實現(xiàn) tvl571 數(shù)字量對應(yīng) bcd 碼的變換和處理;顯示控制模塊實現(xiàn) led 段碼的產(chǎn)生。顯示部分要求在三個七段數(shù)碼管上顯示電壓值,要求保留小數(shù)點后兩位數(shù)字。軟件要求用 ise10.1 環(huán)境,用vhdl 語言編寫數(shù)字電壓表各模塊的功能并進行調(diào)試與下載到 fpga 板上進行驗證。關(guān)鍵詞:vhdl;數(shù)字電壓表;fpga;a/d;xilinx;ise10.1abstractdigital voltmeter is a voltage measuring instruments that is referred to as dvm and it is
3、 most common in intelligent instruments.dvm use digital measurement technology and make the continuous analog (dc input voltage) into discontinuous, discrete digital form and display on led or lcd. the design of this voltmeter main core is the xilinxs vritex-4fpga devices.the voltmeter mainly divide
4、d into the following sections: data processing module is mainly depend on the tlv571 and based on this ad realizing the transforming bcd between digital measurement;dispay module realiazed the producing of the part code. the voltmeter requires the ability to display the measured voltage value in thr
5、ee seven-segment digital tube, retaining two significant figures after the decimal point. the software of the design is xilinx companys eda development environment ise10.1 and using the vhdl language to write the function of the voltmeters each module and debug.downloading the program to the the fpg
6、a board to verify the result.key words:vhdl;volmeter;fpga;a/d;xilinx;ise10.122目目 錄錄 (目錄頁空著,我統(tǒng)一調(diào)整目錄頁空著,我統(tǒng)一調(diào)整)1 緒緒 論論.11.1 數(shù)字電壓表的研究背景.11.2 數(shù)字電壓表的的研究意義.11.3 國內(nèi)外研究概況及應(yīng)用前景.22 系統(tǒng)的軟件開發(fā)環(huán)境系統(tǒng)的軟件開發(fā)環(huán)境.42.1 xilinx公司的 ise 工具軟件.42.1.1 軟件界面.42.1.2 設(shè)計流程.52.1.3 波形仿真流程.62.2 硬件描述語言 vhdl.72.2.1 vhdl 語言簡介.72.2.2 vhdl 語言的
7、特點優(yōu)勢.82.2.3 設(shè)計中用到的語言結(jié)構(gòu).83 系統(tǒng)的硬件組成系統(tǒng)的硬件組成.133.1 eda 技術(shù)概述.133.2 現(xiàn)場可編程門陣列 fpga.153.3 seed-xdtk-v4 實驗平臺.193.3.1 vritex-4 系列器件結(jié)構(gòu)和特性 .193.3.2 cpld 功能模塊.203.3.3 數(shù)碼管.203.3.4 adtlv571 模塊 .214 數(shù)字電壓表的設(shè)計數(shù)字電壓表的設(shè)計.244.1 系統(tǒng)的整體設(shè)計方案.244.2 模數(shù)轉(zhuǎn)換控制模塊.244.3 數(shù)字電壓轉(zhuǎn) bcd 模塊.254.4 數(shù)碼管顯示模塊.274.5 管腳約束文件.285 數(shù)字電壓表的實現(xiàn)數(shù)字電壓表的實現(xiàn).30
8、6 結(jié)結(jié) 論論.33參考文獻參考文獻.34致致 謝謝.35附附 錄錄.36天津科技大學(xué) 2013 屆本科生畢業(yè)論文11 緒緒 論論1.1 數(shù)字電壓表的研究背景數(shù)字電壓表的研究背景在 20 世紀(jì) 50 年代數(shù)字電壓表開始出現(xiàn)在生活和研究的各個方面,它在 60年代得到了發(fā)展和完善。數(shù)字電壓表簡稱 dvm,其在智能儀器的使用中是極其常見的,它將測量技術(shù)、指標(biāo)數(shù)據(jù)化,把連續(xù)的輸入轉(zhuǎn)化為離散的數(shù)據(jù)量并加以顯示。此種電子儀表能夠得以發(fā)展主要由以下兩方面原因,一是因為電子計算機的普及,電子化技術(shù)已經(jīng)從研究領(lǐng)域逐漸過渡到應(yīng)用領(lǐng)域,在生產(chǎn)生活的各項研究中實現(xiàn)數(shù)據(jù)化、理論化,即為了實現(xiàn)數(shù)據(jù)控制的實時性和數(shù)據(jù)處理的
9、可靠性;另一方面,也是電子計算機的發(fā)展,帶動了脈沖數(shù)字電路技術(shù)的進步,為數(shù)字化儀表的出現(xiàn)提供了條件1。所以,電子計算機的發(fā)展為數(shù)字化測量儀器的出現(xiàn)與發(fā)展提供了前提條件;同時,在如今低能耗、高效率的發(fā)展要求之下,完善陳舊的結(jié)構(gòu)成為了測量儀器的發(fā)展趨勢,這也進一步的推進了數(shù)字測量儀器的發(fā)展,同時,它也是機械化儀表向智能化儀表發(fā)展的必經(jīng)之路2。當(dāng)下,傳統(tǒng)的指針式電壓表的市場已經(jīng)被數(shù)字電壓表所取代,由于傳統(tǒng)的模擬指針式電壓表具有功能單一,精度低,讀數(shù)不便利以及易出錯等缺點7。采用現(xiàn)場可編程門陣列即 fpga(field programmable gate array)為設(shè)計的系統(tǒng)核心,是在當(dāng)智能電子產(chǎn)
10、品市場背景下所要求的必然趨勢。系統(tǒng)最大限度地將所有器件集成在 fpga 芯片上,體積大大減小、集成度高,可靠性高。且邏輯單元控制靈活度高、范圍大、集成度高,可以盡可能的使大規(guī)模電路和超大規(guī)模電路高精度的集成在芯片上,實現(xiàn)芯片的高效率、低功耗。因為其硬件系統(tǒng)的功能是基于軟件編程實現(xiàn)的,所以其靈活度高可在不改變原有電路的基礎(chǔ)上實現(xiàn)系統(tǒng)的升級14。基于 fpga 的數(shù)字電壓表由于測量精度高,速度快,讀數(shù)時也非常方便,抗干擾能力強,可擴展性強等優(yōu)點已被廣泛應(yīng)用于電子和電工測量,工業(yè)自動化儀表,自動測量系統(tǒng)等領(lǐng)域,顯示出強大的生命力。現(xiàn)在數(shù)字電壓表已經(jīng)慢慢的發(fā)展成為便攜式數(shù)字測量儀表中最具有代表性的測量
11、儀器之一3。1.2 數(shù)字電壓表的的研究意義數(shù)字電壓表的的研究意義隨著現(xiàn)代化電子技術(shù)數(shù)據(jù)化進程的不斷推進,數(shù)字電壓表的功能越來越強,種類越來越多,其使用范圍也在不斷的拓進。智能化的數(shù)字儀器的普及也是未來發(fā)展的必然方向,它們將不僅能將測量準(zhǔn)確度提高,而且能完善電測量技術(shù)的自動化程序,以此為基礎(chǔ)可以對各種通用數(shù)字儀表、專用數(shù)字儀表及各種非電量的數(shù)字化儀表(如:溫度計、濕度計、酸度計、重量、厚度儀等),幾乎覆蓋了電子電工測量、工業(yè)測量、自動化儀表等各個領(lǐng)域18。天津科技大學(xué) 2013 屆本科生畢業(yè)論文2采用單片機作為系統(tǒng)的控制核心。輸入信號經(jīng) ad 轉(zhuǎn)換后送到單片機進行數(shù)據(jù)處理,根據(jù)電壓信號的不同計算
12、出相應(yīng)的數(shù)值,并將結(jié)果顯示出來。這種基于單片機的設(shè)計的優(yōu)點是單片機技術(shù)成熟度高、設(shè)計成本也較低、編程靈活度高、運算功能性較強,能較準(zhǔn)確地測量輸入電壓。由于在單片機系統(tǒng)中必須使用許多獨立元件連接成整體的外圍電路,這就使得整個系統(tǒng)變得復(fù)雜度高,抗干擾能力差,可靠性較低,而且功耗高。目前,由于 fpga 技術(shù)具有快速的數(shù)據(jù)處理能力所以其被廣泛應(yīng)用于信號的處理和控制等相關(guān)領(lǐng)域。最近成功研制了一種高速數(shù)據(jù)采集系統(tǒng),這種系統(tǒng)將 fpga 與 ad 轉(zhuǎn)換器相結(jié)合,ad 轉(zhuǎn)換器的型號為 adc083000rb,具有 8 位雙 lvds 分辨率 3gs/s,采樣頻率為3ghz 帶寬,用于對超高速瞬態(tài)信號進行計數(shù)
13、。用 vhdl 語言編程。這個系統(tǒng)可以對脈寬為 1-10 和 3ghz 的瞬態(tài)信號進行測量和計數(shù)。在這項工程中 pd 信號由模擬發(fā)生器充當(dāng),所有的計數(shù)由 fpga 實現(xiàn)無需使用示波器。由于其計數(shù)頻率顯示在 lcd 屏上,fpga 可以成為一種高度移動的便攜檢測儀20。基于fpga 的數(shù)字電壓表的設(shè)計其硬件功能完全由軟件編程實現(xiàn),修改調(diào)試方便,在不改變原有電路的基礎(chǔ)上便可實現(xiàn)系統(tǒng)升級,克服了用單片機設(shè)計系統(tǒng)的缺點17。1.3 國內(nèi)外研究概況及應(yīng)用前景國內(nèi)外研究概況及應(yīng)用前景科學(xué)技術(shù)的快速發(fā)展為測量儀表、儀器的出現(xiàn)提供了可能性,并且為此提供了新的原理和新的技術(shù)支持,新型的元、器體也應(yīng)運而生,與此同
14、時對測量儀表的要求有了更高更新的要求。數(shù)字電壓表(也稱之為 dvm)是以計算機技術(shù)、電子技術(shù)、精密測量技術(shù)和自動化技術(shù)為基礎(chǔ)逐漸產(chǎn)生和發(fā)展起來的8。 數(shù)字式儀表是一種可以把連續(xù)的被測量自動地變成不連續(xù)的、用數(shù)字編碼方式表示的、并以十進制數(shù)字的形式自動顯示測量結(jié)果的測量儀表。這是一種新式的測量工具,這種工具通過將計算機技術(shù)、電子技術(shù)以及自動化技術(shù)的研究成果與精密電測量技術(shù)密切的結(jié)合在一起而成為儀表、儀器領(lǐng)域中獨樹一幟的一個分支16。 1952 年,美國的 nls 公司第一個發(fā)明了四位的數(shù)字電壓表,從以前到現(xiàn)在的的幾十年中一直在進行不斷的革新與改進使其功能日趨完善。電壓表是以電位差計的自動化考慮為
15、基礎(chǔ)研發(fā)成功的,從以前只能顯示四五六位而逐漸發(fā)展到現(xiàn)在能在數(shù)碼管上顯示七八位的結(jié)果;開始是一、二種簡單的工作原理漸漸發(fā)展到現(xiàn)在幾十種復(fù)雜的原理,從最初使用電子管和繼電器發(fā)展到現(xiàn)在用全晶體管化、集成電路化、微處理器化的器件;由一臺數(shù)字電壓表只能局限的測量一、兩種參數(shù)到如今能大范圍的測十幾種參數(shù)的多用型;顯示器件也從輝光數(shù)碼顯示發(fā)展 到等離子體、發(fā)光二極管、液晶顯示等。電壓表的體積和功耗變天津科技大學(xué) 2013 屆本科生畢業(yè)論文3得越來越小,重量也在不斷地減輕,價格也在漸漸地下降,可靠性也越來越高,量程范圍越來越大19??偨Y(jié)一下電壓表的發(fā)展歷程,大概可以分為以下三個階段: 數(shù)字化階段。從 50 到
16、 60 年代的中期,電壓表通過運用各種原理來實現(xiàn)模擬量與數(shù)字量之間的變換,就是通過將模擬量轉(zhuǎn)化成數(shù)字量來實現(xiàn)測量儀表的數(shù)字化。 高準(zhǔn)確度階段。隨著精密電測量準(zhǔn)確度要求的越來越高,電壓表的測量精度也在不斷的提高,測量位數(shù)的范圍也在不斷的拓展,因此出現(xiàn)了一種以此為基礎(chǔ)的復(fù)合型原理的新型儀表。智能化階段。在 60 年代的末期,電子技術(shù)和工藝結(jié)構(gòu)的發(fā)展都有了標(biāo)志性的成就,此時計算機技術(shù)與大規(guī)模的集成電路(lsi)相結(jié)合的產(chǎn)物微處理器也應(yīng)運而生。1972 年,美國的英特爾公司首第一個發(fā)明微處理器不久就研發(fā)出微處理器式數(shù)字電壓表,從而實現(xiàn)了電壓表的數(shù)據(jù)自動化處理與可編程序的功能。由于帶有存儲器并使用相關(guān)的
17、軟件,因此可以對信息進行處理,也可以通過標(biāo)準(zhǔn)接口組成自動測試系統(tǒng)(也稱之為 ats)。這些儀表除了實現(xiàn)了原有的電壓表的各種功能外,還能夠自動檢測魚校驗,保證了自動測量度的準(zhǔn)確性,實現(xiàn)了儀表和儀器所說的“智能化”。如今,智能化儀表發(fā)展的非常迅猛,在智能儀表中尤其以微處理式電壓表所占的百分比最多4。各種物理量的動態(tài)測量的希望由于智能化電壓表的出現(xiàn)也越來越明朗。天津科技大學(xué) 2013 屆本科生畢業(yè)論文42 系統(tǒng)的軟件開發(fā)環(huán)境系統(tǒng)的軟件開發(fā)環(huán)境2.1 xilinx 公司的公司的 ise 工具軟件工具軟件xilinx 公司是全世界領(lǐng)先的能都同可編程邏輯完整解決方案的廠家,同時也研發(fā)、制造并銷售應(yīng)用范圍十
18、分廣泛的軟件設(shè)計工具、高級集成電路還有定義系統(tǒng)級功能的 ip(intellectual property)核,從前到現(xiàn)在,一直為 fpga 技術(shù)的發(fā)展做出了巨大的貢獻。同時 xilinx 的開發(fā)工具也一直在不斷地升級,由前期研發(fā)的 foundation 系列也逐漸地發(fā)展到現(xiàn)在的 ise9.1i 系列,融合了 fpga所需要的所有功能,其主要特點主要包括下面幾部分:包含了 xilinx 新型 smart compile 技術(shù),同時可以將實現(xiàn)的時間縮減到原來的 2.5 倍,并且可以在最短的時間內(nèi)提供最高的性能,提供了一個功能十分強大的設(shè)計收斂環(huán)境; 全面支持 virtex-5 系列器件(業(yè)界第一款
19、 65nmfpga);集成式的時序收斂環(huán)境對輕松地快速地識別 fpga 設(shè)計的瓶頸有了很明顯的幫助;能節(jié)省一個或多個速度等級的成本,并且能在邏輯設(shè)計中把總成本降到最低。foundation series ise 界面清晰、簡單且易操作,再加上 xilinx 的 fpga 芯片在市場上占有很大的市場,因此成為市場上最通用的 fpga 工具軟件也理所當(dāng)然。ise 作為高效的 eda 設(shè)計工具集合,與第三方軟件結(jié)合可以揚長補短,其軟件功能也越來越強大,也因此為用戶提供了更加豐富的xilinx 平臺。2.1.1 軟件界面圖 2-1 軟件界面圖 2-1sources 窗口中可以查看所用的芯片型號類型,頂
20、層文件,還可以通過點擊右鍵來創(chuàng)建新的文件類型如仿真波形或是 ip 核等,sources 的類型有三種分別為 implementation、behavioral simulation、post-route simulation;process天津科技大學(xué) 2013 屆本科生畢業(yè)論文5窗口可以實現(xiàn)綜合、編輯管教約束文件、下載 bit 文件、下載等功能。2.1.2 設(shè)計流程設(shè)計中常用的四個操作:綜合、實現(xiàn)、生成 bit 文件、下載。ise 的功能主要分為設(shè)計輸入、綜合、仿真、實現(xiàn)和下載幾個部分,涵蓋了 fpga 開發(fā)的全過程,從功能上講,其工作流程不需借助任何的第三方 eda 軟件。規(guī)規(guī)劃劃和和預(yù)預(yù)
21、算算創(chuàng)創(chuàng)建建代代碼碼原原理理圖圖h hd dl l r rt tl l仿仿真真綜綜合合以以創(chuàng)創(chuàng)建建網(wǎng)網(wǎng)表表功功能能仿仿真真實實現(xiàn)現(xiàn)翻翻譯譯映映射射布布局局和和布布線線得得到到時時序序收收斂斂時時序序仿仿真真產(chǎn)產(chǎn)生生比比特特流流文文件件配配置置f fp pg ga a圖 2-2 設(shè)計流程設(shè)計輸入:ise 提供的設(shè)計輸入工具包括用于 hdl 代碼輸入和查看報告的 ise 文本編輯器(the ise text editor),用于原理圖編輯的工具 ecs(the engineering capture system),用于生成 ip core 的 core generator,用于狀態(tài)機設(shè)計的 st
22、ate cad 以及用于約束文件編輯的 constraint editor 等。綜合:ise 的綜合工具不僅包含了 xilinx 自身提供的綜合工具 xst,與此同時還內(nèi)嵌了 mentor graphics 公司的 leonardo spectrum 和 synplicity 公司的 synplify,實現(xiàn)無縫鏈接。仿真:ise 工具本身自帶了一個具有圖形化波形編輯功能的仿真工具 hdl bencher,同時又提供了使用 model tech 公司的 modelsim 進行仿真的接口。實現(xiàn):這個功能包括了翻譯、映射、布局布線等幾部分,還具備了時序分天津科技大學(xué) 2013 屆本科生畢業(yè)論文6析、
23、管腳指定以及增量設(shè)計等高級功能。下載:下載功能包括了 bitgen,可以將布局布線后的設(shè)計文件變換為位流文件,還包括了 impact,其功能是將設(shè)備進行配置與通信,控制將程序燒寫到 fpga 芯片中去。使用 ise 進行 fpga 設(shè)計的各個過程可能涉及到的設(shè)計工具如表 2-1 所示。表 2-1 ise 設(shè)計工具表設(shè)計輸入綜合仿真實現(xiàn)下載hdl 文本編輯器ecs 原理圖編輯器state 狀態(tài)機編輯器xstfpga express(synplify lenardspectrum)hdl bencher(model sim)translatemapplace and routexpowerbitg
24、enimpact2.1.3 波形仿真流程 圖 2-3 新建一個波形文件天津科技大學(xué) 2013 屆本科生畢業(yè)論文7processes窗口圖 2-4 實現(xiàn)行為仿真如圖 2-3 所示,在 sources 窗口中按右鍵創(chuàng)建一個波形文件,選擇所需要仿真的 vhdl 文件,創(chuàng)建完成后即為圖 2-4 中的第一張圖,在 souces 窗口切換到行為仿真,選擇剛才創(chuàng)建的波形文件進行行為仿真,在 process 窗口中點擊simulation behavioral model 右鍵選擇屬性將仿真時間與建立波形的時間的設(shè)成一樣的。 2.2 硬件描述語言硬件描述語言 vhdl2.2.1 vhdl 語言簡介vhsic(
25、veryhighspeedintegratedcircuit)hardwaredescription language,是由美國的國防部提出的一種新型的 hdl,產(chǎn)生于 1981 年,其目標(biāo)是一個使電路文本使他人理解的一種標(biāo)準(zhǔn),其主要功能是為了讓他人所理解采用文本描述的設(shè)計,同時作為模型語言,可以采用軟件來進行模擬操作。1986 年,ieee(the institute of electrical and electronics engineers)全力進行予以vhdl 標(biāo)準(zhǔn)化的工作,經(jīng)過了多次的修改和擴充,在 1987 年底 vhdl 語言被ieee 和美國國防部宣布成為為標(biāo)準(zhǔn)硬件描述語言。
26、1988 年,milstd454 規(guī)定所有為美國國防部設(shè)計的 asic 產(chǎn)品一定要采用 vhdl 來進行描述。1993 年,ieee 又對 vhdl 進行了再次的修訂。從更高的抽象層次和系統(tǒng)描述能力上擴展 vhdl 的內(nèi)容,加入了支持模擬描述及數(shù)模混合描述的語言功能,使其成為了一種標(biāo)準(zhǔn)的混合型的硬件描述語言,公布了新版本的 vhdl 即 ieee 標(biāo)準(zhǔn)的 10761993 版本。1996 年,ieeel076.3 成為 vhdl 綜合標(biāo)準(zhǔn)。如今,作為硬件描述語言之一的 vhdl 語言成為 eda 工具開發(fā)商和集成電路制造商所一致認可和推廣的標(biāo)準(zhǔn)硬件描述語言。天津科技大學(xué) 2013 屆本科生畢業(yè)
27、論文82.2.2 vhdl 語言的特點優(yōu)勢vhdl 語言可以成為標(biāo)準(zhǔn)并且得到廣泛的應(yīng)用,肯定有它自身一定的主要優(yōu)勢,或者說是與眾不同的特點。具有強大的功能和靈活性特點:vhdl 語言語言結(jié)構(gòu)功能十分強大,復(fù)雜的邏輯控制可以用最簡單明確的語言來描述。獨立于任何器件的設(shè)計:設(shè)計人員使用 vhdl 語言進行硬件電路的設(shè)計時,可以脫離此項設(shè)計的邏輯器件來進行設(shè)計。這樣,設(shè)計人員就能花費大量的時間與精力來進行設(shè)計的構(gòu)思??蛇M行程序的移植:vhdl 語言的移植能力是允許設(shè)計人員對需要綜合設(shè)計描述來進行模擬,在綜合前對一個數(shù)千門的設(shè)計描述進行模擬能省下很多的時間。因為 vhdl 語言是一種標(biāo)準(zhǔn)化的硬件描述語
28、言,因而同一個設(shè)計的 vhdl語言描述能被不同的 eda 工具所支持,從而使得 vhdl 語言程序的移植成為可能。性能評估能力:不依靠器件的設(shè)計和可以進行程序移植的特點允許設(shè)計人員可以使用不同的器件結(jié)構(gòu)和綜合工具來對自己的設(shè)計進行綜合評估。易于 asic 移植:vhdl 語言高效率的重要表現(xiàn)之一就是假如設(shè)計人員的設(shè)計是被綜合到一個 cpld 器件或 fpga 器件,那么就可以使設(shè)計的產(chǎn)品以最快的的速度上市。當(dāng)產(chǎn)品的數(shù)量達到很大的規(guī)模時,采用 vhdl 語言可以很容易地幫助設(shè)計人員實現(xiàn)轉(zhuǎn)成 asic 的設(shè)計。vhdl 語言具有標(biāo)準(zhǔn)、規(guī)范,易于共享和復(fù)用的特點:vhdl 語言的語法標(biāo)準(zhǔn)、規(guī)范且可讀
29、性非常強。因為 vhdl語言是一種 ieee 的工業(yè)標(biāo)準(zhǔn)硬件描述語言,具有嚴(yán)格的語法規(guī)范和統(tǒng)一的標(biāo)準(zhǔn)的特點,所以它可以使設(shè)計人員之間進行交流和共享14。2.2.3 設(shè)計中用到的語言結(jié)構(gòu)1.調(diào)用庫library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;2.實體entity 實體名 is port ( 端口表 );end entity 實體名;以本設(shè)計中的程序為例如entity volmeter is port ( clk : in std_lo
30、gic; int : in std_logic;天津科技大學(xué) 2013 屆本科生畢業(yè)論文9 dati : in std_logic_vector (7 downto 0); wr,rd,cs : out std_logic; reset : in std_logic; scan : out std_logic_vector (2 downto 0); dato : out std_logic_vector (7 downto 0);end volmeter;3.結(jié)構(gòu)體architecture 結(jié)構(gòu)體名 of 實體名 is 說明語句begin 功能描述語句end architecture 結(jié)構(gòu)體
31、名; 4.端口聲明port ( 端口名 : 端口模式 數(shù)據(jù)類型 ; 端口名 : 端口模式 數(shù)據(jù)類型 ) ; 以本設(shè)計中的程序為例如port ( clk : in std_logic; int : in std_logic; dati : in std_logic_vector (7 downto 0); wr,rd,cs : out std_logic; reset : in std_logic; scan : out std_logic_vector (2 downto 0); dato : out std_logic_vector (7 downto 0);5.自定義數(shù)據(jù)類型如type s
32、tate is (s0,s1,s2,s3);signal sta,led_sta :state;6.簡單的賦值語句賦值目標(biāo) = 表達式 如 scan 連接端口名,.) ;如 u0: lpm_rom0 port map ( address=address_l,clock=qclk,q=data_l(15 downto 8)8.元件聲明天津科技大學(xué) 2013 屆本科生畢業(yè)論文10component 元件名 isport (端口名表);end component 元件名;如 component lpm_rom0 port ( address :in std_logic_vector(5 downto
33、 0); clock :in std_logic; q :out std_logic_vector(7 downto 0);end component;9.process 進程語句結(jié)構(gòu)進程標(biāo)號: process ( 敏感信號參數(shù)表 ) is進程說明部分 begin 順序描述語句 end process 進程標(biāo)號;如 process(clk,clkcount) begin if clkevent and clk=1 then clkcount=clkcount+1; end if; qclk=clkcount(3);end process;進程說明部分可以包含數(shù)據(jù)類型、常數(shù)、變量、屬性、子程序1
34、5。在一個結(jié)構(gòu)體中可以包含任意個進程語句結(jié)構(gòu),所有的進程語句都是并行語句,而由任一進程 process 引導(dǎo)的語句(包含在其中的語句)結(jié)構(gòu)屬于順序語句。 進程的特點process 為一無限循環(huán)語句 ;process 中的順序語句具有明顯的順序/并行運行雙重性 ;進程必須由敏感信號的變化來啟動 ;進程語句本身是并行語句;信號是多個進程間的通信線 ;一個進程中只允許描述對應(yīng)于一個時鐘信號的同步時序邏輯;10.case 語句天津科技大學(xué) 2013 屆本科生畢業(yè)論文11case iswhen = ; . ; ;when = ; . ; ;.when others = ;end case ; 原則 :
35、1、完全性:表達式所有可能的值都必須說明,可以用 others 2、唯一性:相同表達式的值只能說明一次如 case led_sta iswhen s0=scan=001;hexd=0&voltage(3 downto 0);led_stascan=010;hexd=0&voltage(7 downto 4);led_stascan=100;hexd=0&voltage(11 downto 8);led_stascan=000;hexd=00000;led_sta=s0;end case;11.if 語句有四種形式1) if 條件句 then 順序語句 end if ;2) if 條件句 the
36、n 順序語句 else 順序語句 end if ; 3) if 條件句 then 順序語句elsif 條件句 then 順序語句else 順序語句end if 4) if 條件句 then if 條件句 then 順序語句 end if 天津科技大學(xué) 2013 屆本科生畢業(yè)論文12end if 如 if clkevent and clk=1 then clkcount=clkcount+1; end if;12.信號與變量賦值語句功能的比較 表 2-2 信號與變量賦值語句功能的比較表 信號 signal變量 variable基本用法用做電路內(nèi)的信號連線用作進程中局部數(shù)據(jù)存儲單元適用范圍適用于整
37、個結(jié)構(gòu)體內(nèi)的任何地方只能在所定義的進程中使用行為特性在進程的最后才對信號賦值立即賦值定義信號 signal 信號名: 數(shù)據(jù)類型 := 初始值 ; 如 signal data: std_logic_vector(7 downto 0);天津科技大學(xué) 2013 屆本科生畢業(yè)論文133 3 系統(tǒng)的硬件組成系統(tǒng)的硬件組成3.1 eda 技術(shù)概述技術(shù)概述電子設(shè)計自動化(electronic design automation)也稱之為 eda。因為它是一門才逐漸發(fā)展起來的新型技術(shù),涉及方面非常廣泛,內(nèi)容十分豐富,理解也有所不同,所以如今還沒有一個準(zhǔn)確的定義。但是從 eda 技術(shù)的幾個重要方向的內(nèi)容來看,
38、可以這樣理解:eda 技術(shù)的設(shè)計載體是大規(guī)??删幊踢壿嬈骷到y(tǒng)邏輯描述的主要表達方式是硬件描述語言,設(shè)計工具是計算機大規(guī)??删幊踢壿嬈骷拈_發(fā)軟件及實驗開發(fā)系統(tǒng),通過相關(guān)的開發(fā)軟件,自動完成采用軟件的方式設(shè)計電子系統(tǒng)到硬件系統(tǒng)的一門新技術(shù)。同時能實現(xiàn)邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合及優(yōu)化,邏輯布局布線、邏輯仿真等功能。完成對于一些特定目標(biāo)芯片的適配編譯、邏輯映射、編程下載等操作,最終形成集成電子系統(tǒng)或?qū)S眉尚酒?。eda 技術(shù)是以計算機、集成電路、電子系統(tǒng)的設(shè)計為基礎(chǔ)逐漸發(fā)展起來的,到現(xiàn)在已有 30 多年的歷史。大概可以分為三個發(fā)展階段。1970 年的 cad(計算機輔助設(shè)計)階段:
39、這一階段的主要特征是利用計算機輔助來進行電路原理圖的編輯,用 pcb 來布銅布線,這樣就可以使設(shè)計師從傳統(tǒng)的高度重復(fù)繁雜的繪圖勞動中解脫出來。1980 年的 qae(計算機輔助工程設(shè)計)階段:這一階段的主要特點是核心為邏輯摸擬、定時分析、故障仿真、自動布局布線,著重解決電路設(shè)計的功能檢測等主要問題,使設(shè)計能在產(chǎn)品制作之前預(yù)知產(chǎn)品的功能與性能。1990 年是 eda(電子設(shè)計自動化)階段:這一階段的主要特點是高級描述語言,系統(tǒng)級仿真和綜合技術(shù),采用“自頂向下”的設(shè)計理念,將設(shè)計前期的許多高層次設(shè)計由 eda 工具來完成。 eda 即為電子技術(shù)設(shè)計自動化,是一種可以幫助人們進行設(shè)計電子電路或是系統(tǒng)
40、的軟件工具。在電子產(chǎn)品的各個設(shè)計階段該工具可以發(fā)揮非常重要的作用,使設(shè)計更復(fù)雜的電路和系統(tǒng)有了希望。在原理圖設(shè)計階段,可以使用 eda中的仿真工具來驗證設(shè)計的正確性;在芯片設(shè)計階段,可以使用 eda 中的芯片設(shè)計工具設(shè)計制作芯片的版圖;在電路板設(shè)計階段,可以使用 eda 中電路板設(shè)計工具設(shè)計多層電路板。尤其是支持硬件描述語言的 eda 工具的出現(xiàn),使得復(fù)雜數(shù)字系統(tǒng)設(shè)計自動化也有可能實現(xiàn),只要數(shù)字系統(tǒng)的行為用用硬件語言描述正確,就能對該數(shù)字系統(tǒng)的芯片進行設(shè)計與制造。21 世紀(jì)將會是 eda 技術(shù)的高速度的發(fā)展時期,eda 技術(shù)也將對 21 世紀(jì)產(chǎn)生重大的影響6。硬件描述語言 : 硬件描述語言(h
41、dl)是一種用于進行電子系統(tǒng)硬件設(shè)計的計算機高級語言,電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式是通過軟件設(shè)計的方法來描述的。 常用硬件描述語言有 hdl、verilog 和 vhdl 語言。天津科技大學(xué) 2013 屆本科生畢業(yè)論文14如今 eda 技術(shù)的發(fā)展十分迅猛, 應(yīng)用相當(dāng)廣泛, eda 的應(yīng)用涵蓋機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個領(lǐng)域。當(dāng)下, eda 技術(shù)廣泛使用在產(chǎn)品設(shè)計與制造、教學(xué)和科研部門,且發(fā)揮著非常大的作用。在產(chǎn)品設(shè)計與制造方面, eda 技術(shù)可實現(xiàn)前期的計算機仿真、系統(tǒng)級模擬及測試環(huán)境的仿真、pcb 的制作、電路板的焊接、asic 的設(shè)計等。在教學(xué)方
42、面, 高校電子類專業(yè)的實踐教學(xué)中, 幾乎所有理工科(尤其是電子信息)類的高等院校都開設(shè)了 eda 相關(guān)的 課程。其目的主要是為了可以讓學(xué)生充分了解eda 的基礎(chǔ)概念和基本原理, 掌握描述系統(tǒng)邏輯的方法,進行電子電路課程時可以使用凹 id/ 5pga 器件進行模擬仿真實驗, 并在作畢業(yè)設(shè)計時進行簡單易操作的電子系統(tǒng)的設(shè)計, 既使實驗設(shè)備或設(shè)計出的電子系統(tǒng)具有可靠性高、比較經(jīng)濟、速度快, 實現(xiàn)比較容易,便利的修改條件,同時又可大大地增強學(xué)生的實踐動手能力,發(fā)掘?qū)W生的創(chuàng)新能力和提高學(xué)生的計算機應(yīng)用能力,為以后的工作打下基礎(chǔ)。全國每兩年舉辦一次大學(xué)生電子設(shè)計競賽活動是最具有代表性的。在科研和新產(chǎn)品開發(fā)
43、方面,小批量產(chǎn)品的芯片或大批量產(chǎn)品的芯片前期開發(fā)可以采用 5pga 。在傳統(tǒng)機電產(chǎn)品的升級換代和技術(shù)改造,5pga 的應(yīng)用能使傳統(tǒng)產(chǎn)品的性能提高,體積縮小,技術(shù)含量和產(chǎn)品的附加值提高。5pga進行電路設(shè)計與仿真的工具為電路仿真工具(ewb 或 pspice、vlol 等); 利用虛擬儀器進行產(chǎn)品調(diào)試; 將 o)li)/ fpga 器件的開發(fā)應(yīng)用到儀器設(shè)備中。例如在cdma 無線通信系統(tǒng)中, 所有移動手機和無線基站都工作在相同的頻譜,為區(qū)別不同的呼叫, 每個手機有一個唯一的碼序列, cdma 基站必須能判別這些不同觀點的碼序列才能分辨出不同的傳呼進程;這一判別是通過匹配濾波器的輸出顯示在輸人數(shù)據(jù)
44、流中探調(diào)到特定的碼序列;fpga 能提供良好的濾波器設(shè)計, 而且能完成 dsp 高級數(shù)據(jù)處理功能, 因而 fpga 在現(xiàn)代通信領(lǐng)域方面獲得廣泛應(yīng)用。在產(chǎn)品設(shè)計與制造方面, 從高性能的微處理器、數(shù)字信號處理器,一直到彩電、音響和電子玩具電路等, eda 技術(shù)不單是應(yīng)用于前期的計算機模擬仿真、產(chǎn)品調(diào)試, 而且也在電子設(shè)備的研制與生產(chǎn)、電路板的焊接等方面有著重要的作用??梢哉f電子 eda 技術(shù)已經(jīng)成為電子工業(yè)領(lǐng)域不可缺少的技術(shù)支持。eda 技術(shù)在進入 21 世紀(jì)后,由于更大規(guī)模的 fpga 器件的不斷推出,在仿真和設(shè)計兩方面支持標(biāo)準(zhǔn)硬件描述語言的功能強大的 eda 軟件不斷更新、增加,使電子 eda
45、 技術(shù)得到了更大的發(fā)展。電子技術(shù)全方位納入 eda 領(lǐng)域,eda 使得電子領(lǐng)域各學(xué)科的界限更加模糊,更加互為包容,突出表現(xiàn)在以下幾個方面:使電子設(shè)計成果以自主知識產(chǎn)權(quán)的方式得以明確表達和確認成為可能;基于 eda 工具的 asic 設(shè)計標(biāo)準(zhǔn)單元已涵蓋大規(guī)模電子系統(tǒng)及 ip 核模塊;軟硬件 ip 核在電子行業(yè)的產(chǎn)業(yè)領(lǐng)域、技術(shù)領(lǐng)域和設(shè)計應(yīng)用領(lǐng)域得到進一步確認;天津科技大學(xué) 2013 屆本科生畢業(yè)論文15soc 高效低成本設(shè)計技術(shù)的成熟。隨著半導(dǎo)體技術(shù)、集成技術(shù)和計算機技術(shù)的迅猛發(fā)展,電子系統(tǒng)的設(shè)計方法和設(shè)計手段都發(fā)生了很大的變化??梢哉f電子eda 技術(shù)是電子設(shè)計領(lǐng)域的一場革命。傳統(tǒng)的“固定功能集成
46、塊十連線”的設(shè)計方法正逐步地退出歷史舞臺,而基于芯片的設(shè)計方法正成為現(xiàn)代電子系統(tǒng)設(shè)計的主流。作為高等院校有關(guān)專業(yè)的學(xué)生和廣大的電子工程師了解和掌握這一先進技術(shù)是勢在必行,這不僅是提高設(shè)計效率的需要,更是時代發(fā)展的需求,只有掌握了 eda 技術(shù)才有能力參與世界電子工業(yè)市場的競爭,才能生存與發(fā)展。隨著科技的進步,電子產(chǎn)品的更新日新月異,eda 技術(shù)作為電子產(chǎn)品開發(fā)研制的源動力,已成為現(xiàn)代電子設(shè)計的核心。3.2 現(xiàn)場可編程門陣列現(xiàn)場可編程門陣列 fpga隨著科學(xué)技術(shù)的飛速發(fā)展,系統(tǒng)逐漸向著高速度、低功耗、低電壓和網(wǎng)絡(luò)化、移動化的方向發(fā)展,人們對電路的要求越來越高,傳統(tǒng)單一功能的電路已經(jīng)很難滿足發(fā)展的
47、需求,而可編程邏輯器件(cpld/fpga)可以方便的通過對邏輯結(jié)構(gòu)的修改和配置,完成對系統(tǒng)和設(shè)備的升級。xilinx 公司是全世界最大的可編程邏輯器件制造商,同時也是 fpga 器件的發(fā)明者,特別是在通信領(lǐng)域,xilinx 不僅是一個提供通信器件的供應(yīng)商,同時還積極的參與通信標(biāo)準(zhǔn)的制定,為系統(tǒng)集成和系統(tǒng)提供解決方案等。很多高校、研究所、公司都采用 xilinx 的方案與芯片來進行開發(fā)與研究。本設(shè)計平臺由本公司設(shè)計的 seed-fem025 開發(fā)系統(tǒng)構(gòu)成,主要器件是 xilinx 推出的 virtex-4 系列器件,嵌入了 microblaze處理器軟核9。從 1985 年 xilinx 公司
48、推出第一個現(xiàn)場可編程邏輯陣列(fpga)到現(xiàn)在,fpga 已經(jīng)成為當(dāng)今電子設(shè)計應(yīng)用市場上首選的可編程邏輯器件之一。從航空航天到數(shù)字信號處理,再到汽車家電等消費領(lǐng)域,無處不見 fpga 的身影。而且,隨著微電子等工藝的進步,fpga 器件本身的性能逐年在提高,使得 fpga器件與其他同類器件相比更有競爭力。它不但具有短暫的開發(fā)周期,低廉的成本,強大的適應(yīng)性等諸多優(yōu)點,而且還有其它多方面的優(yōu)勢:(1)簡便的編程方式。系統(tǒng)配置的編程方式在越來越多的先進的cpld/fpga 產(chǎn)品中得到了廣泛的應(yīng)用。在正 5v 工作電壓的情況下可以任意時刻對正在工作中的 cpldfpga 進行全部或部分地系統(tǒng)編程,并且
49、可以進行所謂“菊花鏈?zhǔn)健钡亩嘈酒芯幊?,特別是對于 sram 結(jié)構(gòu)的 fpga,其下載的編程次數(shù)幾乎不會受到任何限制。(2)高速。cpldfpga 的延遲時間能達到納秒級數(shù),加上其具有并行的工作方式,將在超高速應(yīng)用領(lǐng)域和實時測控方面有非常廣闊的應(yīng)用前景。(3)可靠性非常高。由于 cpldfpga 可以將整個系統(tǒng)在同一芯片中高集天津科技大學(xué) 2013 屆本科生畢業(yè)論文16成下載,體積將會大幅度減小,方面對數(shù)據(jù)進行管理和屏蔽。(4)開發(fā)工具和設(shè)計語言標(biāo)準(zhǔn)化,開發(fā)周期短。由于開發(fā)工具的通用性、設(shè)計語言的標(biāo)準(zhǔn)化以及設(shè)計過程幾乎與所用的 cpldfpga 器件的硬件結(jié)構(gòu)沒有關(guān)系,使得設(shè)計成功的各類邏輯
50、功能塊有很好的兼容性和可移植性,它幾乎可用于任何型號的 cpldfpga,從而使得片上系統(tǒng)的產(chǎn)品設(shè)計效率大幅度提高。(5)功能強大,應(yīng)用廣闊。如今,cpldfpga 可供選擇范圍很大,可根據(jù)不同的應(yīng)用選擇不同容量的芯片。利用它們可實現(xiàn)幾乎任何形式的數(shù)字電路或數(shù)字系統(tǒng)的設(shè)計。(6)易學(xué)易用,開發(fā)便捷。cpldfpga 應(yīng)用的學(xué)習(xí)不需太多的預(yù)備知識,只要具有通常的數(shù)字電路和計算機編程基礎(chǔ)知識,就足以在短期內(nèi)握基本的設(shè)計方法和開發(fā)技巧。正是由于以上諸多優(yōu)點,可編程邏輯器件 cpldfpga 在我國已大量應(yīng)用到產(chǎn)品的研制和最終產(chǎn)品中,它們在降低產(chǎn)品成本的同時又極大地縮短了產(chǎn)品上市時間,可產(chǎn)生巨大的經(jīng)濟
51、效益10。fpga 一般由三種可編程電路和一個用于存放編程數(shù)據(jù)的 sram 組成,這三種可編程電路是:可編程邏輯塊 clb、輸入輸出模塊 iob(io block)和互連資源 ir。clb 是 fpga 的主要組成部分,是實現(xiàn)邏輯功能的基本單元,它主要由邏輯函數(shù)發(fā)生器、觸發(fā)器、以通過 ir 與其他 clbs 相連。iob 提供了器件引腳和內(nèi)部邏輯陣列之間的連接,通常排列在芯片的四周,主要由輸入觸發(fā)器、輸入緩沖器、輸出觸發(fā)鎖存器和輸出緩沖器組成。每個 iob 控制一個引腳,可被配置為輸入、輸出或雙向 lo 功能。ir 包括各種長度的金屬連接線段和一些可編程連接開關(guān),它們將各個 clb 之間和 i
52、ob 之間互相連接起來,構(gòu)成各種復(fù)雜功能的系統(tǒng)。fpga 的基本特點主要有:(1)采用 fpga 設(shè)計 asic 電路,用戶不需要投片生產(chǎn),就能得到合格的芯片,可做其它全定制或半定制asic 電路的中試樣片。(2)fpga 內(nèi)部有豐富的觸發(fā)器和 lo 引腳。(3)fpga是 asic 電路中設(shè)計周期最短、開發(fā)費用最低、風(fēng)險最小的器件之一。(4)fpga采用高速 cmos 工藝,功耗低,可以與 cmos、ttl 電平兼容。數(shù)據(jù)選擇器等電路組成。它包含了 nn 個配置邏輯塊(clbs),對其編程可產(chǎn)生邏輯功能。fpga 器件如今已經(jīng)成為全世界炙手可熱的半導(dǎo)體器件,同時在現(xiàn)代電子系統(tǒng)設(shè)計中起著越來越
53、重要的作用,其未來的發(fā)展方向?qū)⒊尸F(xiàn)以下幾個方面的趨勢。1向高密度、大規(guī)模的方向發(fā)展由于電子系統(tǒng)的復(fù)雜程度越來越高,fpga 的規(guī)模越來越大,由原來的幾百門發(fā)展到現(xiàn)在已經(jīng)有上百萬門。如今,高密度的 fpga 產(chǎn)品占有的市場也非天津科技大學(xué) 2013 屆本科生畢業(yè)論文17??捎^,并且已經(jīng)具備了片上系統(tǒng)集成的能力。產(chǎn)品性能的巨大飛躍也促進了工藝的不斷發(fā)展進步,fpga 器件的規(guī)模也隨著工藝的不斷改進不斷的擴大。這些高密度、大容量的 fpga 器件的出現(xiàn),給現(xiàn)代電子系統(tǒng)(復(fù)雜系統(tǒng))的設(shè)計與實現(xiàn)帶來了巨大的幫助。2向系統(tǒng)內(nèi)可重構(gòu)的方向發(fā)展系統(tǒng)內(nèi)可重構(gòu)是指 fpga 器件在置入用戶系統(tǒng)后仍具有改變其內(nèi)部功
54、能的能力。采用系統(tǒng)內(nèi)可重構(gòu)技術(shù),使得系統(tǒng)內(nèi)硬件的功能可以像軟件那樣通過編程來配置,從而在電子系統(tǒng)中引入“軟硬件”的全新概念。它不僅使電子系統(tǒng)的設(shè)計和產(chǎn)品性能的改進和擴充變得十分簡便,還使新一代電子系統(tǒng)具有極強的靈活性和適應(yīng)性,為許多復(fù)雜信號的處理和信息加工的實現(xiàn)提供了新的思路和方法。按照實現(xiàn)的途徑不同,系統(tǒng)內(nèi)重構(gòu)可分為靜態(tài)重構(gòu)和動態(tài)重構(gòu)兩類。對基于 e2prom 或快速擦寫技術(shù)的可編程器件,系統(tǒng)內(nèi)重構(gòu)是通過在系統(tǒng)編程isp 技術(shù)實現(xiàn)的,是一種靜態(tài)邏輯重構(gòu)。另一類系統(tǒng)重構(gòu)即動態(tài)重構(gòu),是指在系統(tǒng)運行期間,根據(jù)需要適時地對芯片重新配置以改變系統(tǒng)的功能,可由基于sram 技術(shù)的 fpga(sram-b
55、ased fpga)實現(xiàn)。這類器件可以無限次地被重新編程,利用它可以 1 秒幾次或者 1 秒數(shù)百次地改變器件執(zhí)行的功能,甚至可以只對器件的部分區(qū)域進行重構(gòu),此時芯片的其他部分仍可正常工作。fpga 的系統(tǒng)內(nèi)可重構(gòu)特性有著極其廣泛的應(yīng)用前景,近年來在通信、航天、計算機硬件系統(tǒng)、程序控制、數(shù)字系統(tǒng)的測試診斷等方面獲得了較好的應(yīng)用11。3向低電壓、低功耗的方向發(fā)展集成技術(shù)的飛速發(fā)展,工藝水平的不斷提高,節(jié)能潮流在全世界的興起,也為半導(dǎo)體工業(yè)提出了降低工作電壓的發(fā)展方向。fpga 器件作為電子系統(tǒng)的重要組成部分,也不可避免地向 33v-2.5v-1.8v 的標(biāo)準(zhǔn)靠攏,以便適應(yīng)其他數(shù)字器件,擴大應(yīng)用范圍
56、。4向高速可預(yù)測延時器件的方向發(fā)展fpga 器件如果要在高速系統(tǒng)中占有一席之地,也必然向高速發(fā)展。為了保證高速系統(tǒng)的穩(wěn)定,fpga 器件的延時可預(yù)測性也是十分重要的。用戶在進行系統(tǒng)重構(gòu)的同時,擔(dān)心的是延時特性會不會因重新布線的改變而改變,否則將導(dǎo)致系統(tǒng)重構(gòu)的不穩(wěn)定性,這對龐大而高速的系統(tǒng)而言將是不可想象的,其帶來的損失將是巨大的。因此,為了適應(yīng)未來復(fù)雜高速電子系統(tǒng)的要求,fpga器件的高速可預(yù)測延時也是一個發(fā)展趨勢。5向混合可編程技術(shù)方向發(fā)展fpga 器件特有的產(chǎn)品上市快以及硬件可重構(gòu)特性為電子產(chǎn)品的開發(fā)帶來了極大的方便,它的廣泛應(yīng)用使得電子系統(tǒng)的構(gòu)成和設(shè)計方法均發(fā)生了很大的變化。但迄今為止,
57、有關(guān) fpga 的研究和開發(fā)的大部分工作基本上都集中在數(shù)天津科技大學(xué) 2013 屆本科生畢業(yè)論文18字邏輯電路上,在未來幾年里,這一局面將會有所改變,模擬電路及數(shù)?;旌想娐返目删幊碳夹g(shù)將得到發(fā)展3。dsp 在很多領(lǐng)域中具有廣泛的用途,但隨著 dsp 系統(tǒng)復(fù)雜程度和功能要求的提高,用 dsp 解決方案逐漸顯示出它的缺陷性:實時性不強,靈活性太差,不適合在實驗室和技術(shù)開發(fā)等場合使用等。比如在數(shù)據(jù)通信和圖像處理這樣的應(yīng)用中,需要強大的處理能力,由于成本、系統(tǒng)功耗和面市時間等原因,許多通訊、視頻和圖像系統(tǒng)已無法簡單地用現(xiàn)有 dsp 處理器來實現(xiàn),當(dāng)最快的數(shù)字信號處理器仍無法達到速度要求時,唯一的選擇是
58、增加處理器的數(shù)目,或采用客戶定制的門陣列產(chǎn)品。現(xiàn)在,設(shè)計人員有了新的選擇,可采用 fpga 來快速經(jīng)濟地完成設(shè)計。采用現(xiàn)場可編程器件不僅縮短了產(chǎn)品上市時間,還可滿足現(xiàn)在和下一代便攜式設(shè)計所需要的成本、性能、尺寸等方面的要求,并提供系統(tǒng)級支持。使用 fpga 來實現(xiàn) dsp 解決方案主要有兩個方面的理由一首先是為了提高性能,其次可以為系統(tǒng)節(jié)約成本。可編程邏輯器件的設(shè)計是利用 eda 開發(fā)軟件和編程土具對器件開發(fā)的過程。高密度復(fù)雜可編程邏輯器件的設(shè)計流程如圖 3-1 所示。它包括設(shè)計準(zhǔn)備、設(shè)計輸入、功能仿真、設(shè)計處理、時序仿真和器件編程及測試等七個步驟12。1設(shè)計準(zhǔn)備 在系統(tǒng)設(shè)計之前,首先要進行方
59、案論證、系統(tǒng)設(shè)計和器件選擇等準(zhǔn)備工作。一般采用自上而下的設(shè)計方法,也可采用傳統(tǒng)的自下而上的設(shè)計方法。2設(shè)計輸入 設(shè)計輸入將所設(shè)計的系統(tǒng)或電路以開發(fā)軟件要求的某種形式表示出來,并送入計算機的過程稱為設(shè)計輸入。設(shè)計輸入通常有以下集中形式: 1)原理圖輸入方式 2)硬件描述語言輸入方式 3)波形輸入方式3功能仿真 功能仿真也叫做前仿真。用戶所設(shè)計的電路必須在編譯之前進行邏輯功能驗證,此時的仿真沒有延時信息,對于初步的功能檢測非常方便。仿真中如發(fā)現(xiàn)錯誤,則返回設(shè)計輸入中修改邏輯設(shè)計。4設(shè)計處理設(shè)計處理是器件設(shè)計中的核心環(huán)節(jié)。在設(shè)計處理過程中,編譯軟件將對設(shè)計輸入文件進行邏輯化簡、綜合優(yōu)化和適配,最后產(chǎn)
60、生編程用的編程文件。主要有:1)語法檢查和設(shè)計規(guī)則檢查天津科技大學(xué) 2013 屆本科生畢業(yè)論文19 2)邏輯優(yōu)化和綜合 3)適配和分割 4)布局和布線5時序仿真 時序仿真又稱后仿真或延時仿真。由于不同器件的內(nèi)部延時不一樣,不同的布局布線方案也給延時造成不同的影響,因此在設(shè)計處理以后,對系統(tǒng)和各模塊進行時序仿真,分析其時序關(guān)系,估計設(shè)計的性能,以及檢查和消除竟?fàn)幟半U等是非常有必要的。6器件編程測試時序仿真完成后,軟件就可產(chǎn)生供器件編程使用的數(shù)據(jù)文件。設(shè)設(shè)計計準(zhǔn)準(zhǔn)備備設(shè)設(shè)計計輸輸入入設(shè)設(shè)計計處處理理器器件件編編程程功功能能仿仿真真時時序序仿仿真真器器件件測測試試圖 3-1 可編程邏輯器件設(shè)計流程3
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 晉中山西晉中市太谷區(qū)面向2025屆公費師范生招聘教師18人筆試歷年參考題庫附帶答案詳解
- 2025年中國太子佛工藝品市場調(diào)查研究報告
- 2025至2031年中國高壓透鏡行業(yè)投資前景及策略咨詢研究報告
- 2025年藝術(shù)道閘項目可行性研究報告
- 2025年紅外線按摩棒項目可行性研究報告
- 2025年電加熱針織物呢毯預(yù)縮機項目可行性研究報告
- 成都四川成都天府國際競技訓(xùn)練中心招聘運動員4人筆試歷年參考題庫附帶答案詳解
- 2025年曲印項目可行性研究報告
- 2025年揉切粉碎機項目可行性研究報告
- 2025年安康魚野菜串項目可行性研究報告
- GB/T 2934-2007聯(lián)運通用平托盤主要尺寸及公差
- GB/T 13228-2015工業(yè)炸藥爆速測定方法
- CB/T 102-1996錫基合金軸瓦鑄造技術(shù)條件
- 羅森便利店QSC標(biāo)準(zhǔn)課件講義
- 售后服務(wù)的流程圖
- 急診科進修匯報課件
- DL∕T 617-2019 氣體絕緣金屬封閉開關(guān)設(shè)備技術(shù)條件
- 信息技術(shù)基礎(chǔ)ppt課件(完整版)
- 弘揚與傳承中華傳統(tǒng)文化課件(共16張PPT)
- 鋼琴基礎(chǔ)教程教案
- 電子課件-《飯店服務(wù)心理(第四版)》-A11-2549
評論
0/150
提交評論