版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、簡易迷宮設(shè)計(jì)北京郵電大學(xué)信息與通信工程學(xué)院2012級數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告簡易迷宮游戲 班級:學(xué)號:班內(nèi)序號:姓名:2014/11/7 目錄設(shè)計(jì)課題3系統(tǒng)設(shè)計(jì)4設(shè)計(jì)思路4總體框圖4分塊介紹7仿真波形9源程序11功能說明29資源利用情況29故障及問題分析30總結(jié)和結(jié)論30設(shè)計(jì)課題簡易迷宮設(shè)計(jì)設(shè)計(jì)并實(shí)現(xiàn)一個(gè)簡易迷宮游戲機(jī)?;疽螅?、用 8×8 點(diǎn)陣進(jìn)行游戲顯示。2、迷宮游戲如圖 1 所示,采用雙色點(diǎn)陣顯示,其中紅色LED 為迷宮墻壁,綠色LED表示人物。通過BTN0BTN3 四個(gè)按鍵控制迷宮中的人物進(jìn)行上下左右移動(dòng),使人物從起始點(diǎn)出發(fā),走到迷宮的出口,游戲結(jié)束。3、普通計(jì)時(shí)模式:
2、通過按鍵BTN7 啟動(dòng)游戲,必須在30 秒內(nèi)找到出口,否則游戲失敗,用兩個(gè)數(shù)碼管進(jìn)行倒計(jì)時(shí)顯示。游戲勝利或者失敗均要在8×8 點(diǎn)陣上有相應(yīng)的畫面出現(xiàn)。4、迷宮中的人物在行走過程中,如果碰到墻壁,保持原地不動(dòng)。提高要求:1、多種迷宮地圖可以選擇。2、在計(jì)時(shí)的基礎(chǔ)上增加計(jì)步的功能,每按一次控制按鍵步數(shù)加1,碰壁不計(jì)算步數(shù),計(jì)步結(jié)果用數(shù)碼管顯示。3、為游戲增加提示音樂,在不同時(shí)間段采用不同頻率的信號控制蜂鳴器發(fā)聲報(bào)警。4、增加其他游戲模式。5、自擬其它功能。系統(tǒng)設(shè)計(jì)§1.設(shè)計(jì)思路程序采用分模塊設(shè)計(jì)的思路,將具體任務(wù)分解成為不同的功能模塊,利用component編寫,再通過主程序通
3、過port map語句調(diào)用連接。主程序中包括各個(gè)子元件的定義及連接語句,子程序分別為分頻器模塊、防抖模塊、點(diǎn)陣顯示模塊、迷宮人物坐標(biāo)控制模塊、倒計(jì)時(shí)控制模塊、數(shù)碼管選擇模塊和數(shù)碼管顯示模塊。§2.總體框圖(1) 頂層框圖 數(shù)碼管顯示 點(diǎn)陣顯示迷宮控制器 復(fù)位按鍵 啟動(dòng)開關(guān) 方向按鍵(2) 對外接口迷宮控制器 out_catclk_in out_segstart out_rowresetround out_redmove_l move_rmove_u move_d out_green(3) 邏輯劃分框圖數(shù)碼管 數(shù)碼管選擇seg7 點(diǎn)陣 數(shù)碼管顯示catrow red,greensech
4、,secl count坐標(biāo)及顯示控制器30s倒計(jì)時(shí)控制out_state clk_out1(點(diǎn)陣掃描) startclk_out3(1Hz) 時(shí)鐘 防抖 分頻器 reset k_l,r,u,d clk_out2(控制) clk_out4(200Hz) clk_in方向按鍵復(fù)位按鍵(4)ASM圖reset=1stuck=0 andm_l/r/u/d=1 成功移動(dòng)start=1/reset=1win=1開始reset=1stuck=0 and m_l/r/u/d=1 stuck=1 stuck=1 or time_up=1m_l/r/u/d=0失敗不動(dòng)reset=1 time_up=1 stuck
5、=1/m_l/r/u/d=0reset=1(5)控制器邏輯流程圖顯示開始界面GO 是否開始?N 是否復(fù)位?YY 選關(guān)1?顯示迷宮1YN顯示迷宮2N 左移? 左移YN 右移? 右移Y N 上移? 上移YN 下移? 下移YN N 成功? 時(shí)間到? 碰壁?NN 成功 失敗YY§3.分塊介紹(1) 分頻器component fenpin -divide the frequency to the wantedport(clk_in:in std_logic;clk_out1:out std_logic;clk_out2:out std_logic;clk_out3:out std_logic;
6、clk_out4:out std_logic);end component;輸入時(shí)鐘為50MHz,通過分頻輸出10KHz(用于點(diǎn)陣掃描),10Hz(用于點(diǎn)陣控制),1Hz(用來計(jì)數(shù)),200Hz(用于防抖設(shè)計(jì))。(2) 迷宮控制器component control -logically control the labyrinthineport(round:in std_logic;clk_1:in std_logic;p_rst:in std_logic;p_start:in std_logic;m_left,m_right,m_up,m_down:in std_logic;out_state
7、:out std_logic;out_y_g:out std_logic_vector(3 downto 0);out_x_g:out std_logic_vector(3 downto 0);end component;通過按鍵輸入的高低電平來控制迷宮點(diǎn)陣。當(dāng)選關(guān)撥碼開關(guān)為低電平時(shí),執(zhí)行迷宮地圖1,復(fù)位按鍵重置初始坐標(biāo),當(dāng)各個(gè)方向按鍵為高電平時(shí),判斷那些坐標(biāo)的下一位置是墻壁,通過if語句是坐標(biāo)點(diǎn)位于當(dāng)前位置不移動(dòng),反之則向規(guī)定方向移動(dòng)一步。當(dāng)坐標(biāo)位于出口時(shí),勝利輸出狀態(tài)為1。當(dāng)選關(guān)撥碼開關(guān)為高電平時(shí),執(zhí)行迷宮地圖2,其他基本同上。(3) 點(diǎn)陣顯示控制器component show_contr
8、ol -control the sweep of rectangleport(clk_2:in std_logic;s_start:in std_logic;round:in std_logic;s_reset:in std_logic;time_up:in std_logic;win:in std_logic;x_g:in std_logic_vector(3 downto 0);y_g:in std_logic_vector(3 downto 0);row:out std_logic_vector(7 downto 0);red:out std_logic_vector(7 downto
9、0);green:out std_logic_vector(7 downto 0);end component;當(dāng)開始撥碼開關(guān)為低電平時(shí),點(diǎn)陣顯示游戲初始界面GO;為高電平時(shí),根據(jù)選關(guān)開關(guān)狀態(tài),顯示迷宮1或2。當(dāng)time_up=1時(shí),游戲失敗,顯示破碎的心;當(dāng)time_up=0時(shí),游戲正常進(jìn)行。(由計(jì)時(shí)器提供)當(dāng)狀態(tài)win=1時(shí),顯示完整的心;當(dāng)win=0時(shí),游戲正常進(jìn)行。(由迷宮控制器提供)為保證點(diǎn)陣亮度一致,選擇行掃描,通過向量row中某路選通(即電平為1),顯示該行點(diǎn)陣,并由高頻時(shí)鐘信號掃描,產(chǎn)生視覺停留效果,得到點(diǎn)陣顯示。(4) 計(jì)時(shí)器component led_control -co
10、ntrol the countdownport(res:in std_logic;start:in std_logic;win:in std_logic;clk_in:in std_logic;state_out:out std_logic;sec_outl:out std_logic_vector(3 downto 0);sec_outh:out std_logic_vector(3 downto 0);end component;由一個(gè)從0到30的變量計(jì)數(shù),初始值為30,每當(dāng)遇到1Hz的時(shí)鐘上升沿時(shí),計(jì)數(shù)器減一,直到計(jì)數(shù)器減為0,使失敗狀態(tài)為1,或到輸入勝利狀態(tài)為1,停止計(jì)時(shí)。由sec_o
11、utl,sec_outh將時(shí)間的高低位分開,以便與分別控制兩個(gè)數(shù)碼管,顯示倒計(jì)時(shí)。(5) 數(shù)碼管選擇器component led_select -select data in digital blinkingport(sech,secl:in std_logic_vector(3 downto 0);clk_select:in std_logic;q:out std_logic_vector(5 downto 0);dataout:out std_logic_vector(3 downto 0); end component;30s計(jì)時(shí)的高低位分別對應(yīng)不同的cat管腳,使相鄰的兩個(gè)數(shù)碼管顯示對
12、應(yīng)數(shù)字,并將計(jì)數(shù)值提供給數(shù)碼管顯示器。(6) 數(shù)碼管控制器component led -segment decoderport(count:in std_logic_vector(3 downto 0);seg7:out std_logic_vector(6 downto 0);end component;不同的計(jì)數(shù)值對應(yīng)不同數(shù)碼管管腳的高低電平,是數(shù)碼管顯示正確的數(shù)字。(7) 防抖component shake_prv is -shake prevention port(clk : in std_logic;btn_in : in std_logic;btn_out : out std_lo
13、gic);end component;通過低頻時(shí)鐘信號(200Hz)將判斷按鍵按下的時(shí)間延長以達(dá)到防抖的效果。仿真波形開始界面(GO)start=1,依次行掃描row,點(diǎn)陣紅色顯示GO。失敗界面(破碎的心)time_up=1,依次行掃描row,點(diǎn)陣紅色顯示broken heart。成功界面(完整的心)win=1,依次行掃描row,點(diǎn)陣紅色顯示heart。行走受阻:下行遇障,stuck為高電平。源代碼主程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity moon_maze ispor
14、t(clk_in:in std_logic;start:in std_logic;reset:in std_logic;round:in std_logic;-stuck:out std_logic;move_l,move_r,move_u,move_d:in std_logic;out_cat:out std_logic_vector(5 downto 0);out_seg:out std_logic_vector(6 downto 0);out_row:out std_logic_vector(7 downto 0);out_red:out std_logic_vector(7 downt
15、o 0);out_green:out std_logic_vector(7 downto 0);end;architecture struct of moon_maze is component fenpin -divide the frequency to the wantedport(clk_in:in std_logic;clk_out1:out std_logic;clk_out2:out std_logic;clk_out3:out std_logic;clk_out4:out std_logic);end component;component shake_prv is -shak
16、e prevention port(clk : in std_logic;btn_in : in std_logic;btn_out : out std_logic);end component;component control -logically control the labyrinthineport(round:in std_logic;clk_1:in std_logic;p_rst:in std_logic;p_start:in std_logic;m_left,m_right,m_up,m_down:in std_logic;out_state:out std_logic;-o
17、ut_stuck:out std_logic;out_y_g:out std_logic_vector(3 downto 0);out_x_g:out std_logic_vector(3 downto 0);end component;component show_control -control the sweep of rectangleport(clk_2:in std_logic;s_start:in std_logic;round:in std_logic;s_reset:in std_logic;time_up:in std_logic;win:in std_logic;x_g:
18、in std_logic_vector(3 downto 0);y_g:in std_logic_vector(3 downto 0);row:out std_logic_vector(7 downto 0);red:out std_logic_vector(7 downto 0);green:out std_logic_vector(7 downto 0);end component;component led_control -control the countdownport(res:in std_logic;start:in std_logic;win:in std_logic;clk
19、_in:in std_logic;state_out:out std_logic;sec_outl:out std_logic_vector(3 downto 0);sec_outh:out std_logic_vector(3 downto 0);end component;component led_select -select data in digital blinkingport(sech,secl:in std_logic_vector(3 downto 0);clk_select:in std_logic;q:out std_logic_vector(5 downto 0);da
20、taout:out std_logic_vector(3 downto 0); end component;component led -segment decoderport(count:in std_logic_vector(3 downto 0);seg7:out std_logic_vector(6 downto 0);end component;signal temp_win:std_logic;signal temp_lose:std_logic;signal clk_temp1:std_logic;signal clk_temp2:std_logic;signal clk_tem
21、p3:std_logic;signal clk_temp4:std_logic;signal data_templ:std_logic_vector(3 downto 0);signal data_temph:std_logic_vector(3 downto 0);signal data_out:std_logic_vector(3 downto 0);signal x_temp:std_logic_vector(3 downto 0);signal y_temp:std_logic_vector(3 downto 0);signal k_l,k_r,k_u,k_d:std_logic;be
22、ginu0:fenpin port map(clk_in=>clk_in,clk_out1=>clk_temp1,clk_out2=>clk_temp2,clk_out3=>clk_temp3,clk_out4=>clk_temp4);u1:shake_prv port map(clk_temp4,move_l,k_l);u2:shake_prv port map(clk_temp4,move_r,k_r);u3:shake_prv port map(clk_temp4,move_u,k_u);u4:shake_prv port map(clk_temp4,mov
23、e_d,k_d);u5:control port map(round=>round,clk_1=>clk_temp2,p_rst=>reset,p_start=>start,m_left=>k_l,m_right=>k_r,m_up=>k_u,m_down=>k_d,out_state=>temp_win,out_x_g=>x_temp,out_y_g=>y_temp);u6:show_control port map(clk_2=>clk_temp1,s_reset=>reset,s_start=>start
24、,round=>round,time_up=>temp_lose,win=>temp_win,x_g=>x_temp,y_g=>y_temp,row=>out_row,red=>out_red,green=>out_green);u7:led_control port map(res=>reset,start=>start,win=>temp_win,clk_in=>clk_temp3,state_out=>temp_lose,sec_outl=>data_templ,sec_outh=>data_tem
25、ph);u8:led_select port map(clk_select=>clk_temp1,secl=>data_templ,sech=>data_temph,q=>out_cat,dataout=>data_out);u9:led port map(count=>data_out,seg7=>out_seg);end;分頻器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk_in:in std_log
26、ic;clk_out1:out std_logic;-rectangle and digital sweepclk_out2:out std_logic;-button sweepclk_out3:out std_logic;-second counterclk_out4:out std_logic);-shake_preventionend;architecture behave of fenpin issignal cnt1:integer range 0 to 2499;signal cnt2:integer range 0 to 499;signal cnt3:integer rang
27、e 0 to 49;signal cnt4:integer range 0 to 25; signal clk_temp1:std_logic;signal clk_temp2:std_logic;signal clk_temp3:std_logic;signal clk_temp4:std_logic;beginp1:process(clk_in)-10KHzbeginif clk_in'event and clk_in='1' thenif cnt1=2499 thenclk_temp1<=not clk_temp1;cnt1<=0; elsecnt1&
28、lt;=cnt1+1;end if;end if;end process p1;clk_out1<=clk_temp1;p2:process(clk_temp1)-10Hzbeginif clk_temp1'event and clk_temp1='1'thenif cnt2=499 thenclk_temp2<=not clk_temp2;cnt2<=0;elsecnt2<=cnt2+1;end if;end if;end process p2;clk_out2<=clk_temp2;p3:process(clk_temp2)-1Hzbe
29、ginif clk_temp2'event and clk_temp2='1'thenif cnt3=6 thenclk_temp3<=not clk_temp3;cnt3<=0;elsecnt3<=cnt3+1;end if;end if;end process p3;clk_out3<=clk_temp3;p4:process(clk_temp1)-200Hzbeginif clk_temp1'event and clk_temp1='1'thenif cnt4=24 thenclk_temp4<=not clk
30、_temp4;cnt4<=0;elsecnt4<=cnt4+1;end if;end if;end process p4;clk_out4<=clk_temp4;end behave;迷宮控制器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity control isport(round:in std_logic;clk_1:in std_logic;p_rst:in std_logic;p_start:in std_logic;m_left,m_right,m_up,
31、m_down:in std_logic;out_state:out std_logic;-out_stuck:out std_logic;out_y_g:out std_logic_vector(3 downto 0);out_x_g:out std_logic_vector(3 downto 0);end;architecture behave of control issignal win_temp:std_logic;-signal stuck_temp:std_logic;signal k_l,k_r,k_u,k_d:std_logic;signal x_t:std_logic_vec
32、tor(3 downto 0);signal y_t:std_logic_vector(3 downto 0);signal x:integer range 0 to 7;signal y:integer range 0 to 7;beginp1:process(x,y)-x,y send to translatorbegincase x iswhen 0 =>x_t<="0000"when 1 =>x_t<="0001"when 2 =>x_t<="0010"when 3 =>x_t<
33、="0011"when 4 =>x_t<="0100"when 5 =>x_t<="0101"when 6 =>x_t<="0110"when 7 =>x_t<="0111"end case;case y iswhen 0 =>y_t<="0000"when 1 =>y_t<="0001"when 2 =>y_t<="0010"when 3 =>y
34、_t<="0011"when 4 =>y_t<="0100"when 5 =>y_t<="0101"when 6 =>y_t<="0110"when 7 =>y_t<="0111"end case;end process p1;out_x_g<=x_t;out_y_g<=y_t;p2:process(m_left,m_right,m_up,m_down)begink_l<=m_left;k_r<=m_right;k_u
35、<=m_up;k_d<=m_down;end process p2;p3:process(round,clk_1,p_rst,p_start,k_l,k_r,k_u,k_d)beginif(round='0') and (p_rst='1')thenx<=7;y<=6;-stuck_temp<='0'elsif(round='0') and (p_start='0')thenx<=7;y<=6;-stuck_temp<='0'elseif (round
36、='0')and(clk_1'event and clk_1='1')thenif(k_l='1')thenif(y=6)and(x=7)or(x=2)or(x=1)or(y=5)and(x=4)or(x=3)or(y=1)and(x=6)or(x=5)or(x=4)or(x=3)thenx<=x-1;elsex<=x;-stuck_temp<='1'end if;end if;if(k_r='1')thenif(y=6)and(x=6)or(x=1)or(y=5)and(x=3)or(x
37、=2)or(y=1)and(x=2)or(x=5)or(x=4)or(x=3)thenx<=x+1;elsex<=x;-stuck_temp<='1'end if;end if;if(k_u='1')thenif(x=2)and(y=1)or(y=2)or(y=3)or(y=4)or(y=5)or(x=4)and(y=3)or(y=4)or(x=6)and(y=1)or(y=2)or(y=3)or(y=4)or(y=5)theny<=y+1;elsey<=y;-stuck_temp<='1'end if;end
38、 if;if(k_d='1')thenif(x=2)and(y=6)or(y=2)or(y=3)or(y=4)or(y=5)or(x=4)and(y=5)or(y=4)or(x=6)and(y=6)or(y=2)or(y=3)or(y=4)or(y=5)theny<=y-1;elsey<=y;-stuck_temp<='1'end if;end if;end if;end if;if (round='1') and (p_rst='1')thenx<=7;y<=1;-stuck_temp<=
39、39;0'elsif(round='1') and (p_start='0')thenx<=7;y<=1;-stuck_temp<='0'elseif (round='1') and (clk_1'event and clk_1='1')thenif(k_l='1')thenif(y=6)and(x=6)or(x=5)or(x=4)or(y=4)and(x=3)or(x=2)or(x=1)or(y=3)and(x=6)or(y=1)and(x=7)or(x=0)or
40、(x=6)or(x=1)or(x=2)or(x=3)or(x=4)or(x=5)thenx<=x-1;-(x=6)or(x=1)or(x=2)or(x=3)or(x=4)or(x=5)elsex<=x;-stuck_temp<='1'end if;end if;if(k_r='1')thenif(y=6)and(x=3)or(x=5)or(x=4)or(y=4)and(x=0)or(x=2)or(x=1)or(y=3)and(x=5)or(y=1)and(x=1)or(x=0)or(x=6)or(x=7)or(x=2)or(x=3)or(x=4
41、)or(x=5)thenx<=x+1;elsex<=x;-stuck_temp<='1'end if;end if;if(k_u='1')thenif(x=1)and(y=4)or(y=5)or(x=3)and(y=5)or(y=4)or(x=5)and(y=1)or(y=2)or(x=6)and(y=3)or(y=4)or(y=5)theny<=y+1;elsey<=y;-stuck_temp<='1'end if;end if;if(k_d='1')thenif(x=1)and(y=6)or
42、(y=5)or(x=3)and(y=5)or(y=6)or(x=5)and(y=3)or(y=2)or(x=6)and(y=6)or(y=4)or(y=5)theny<=y-1;elsey<=y;-stuck_temp<='1'end if;end if;end if;end if;end process p3;-out_stuck<=stuck_temp;p4:process(x,y,round)beginif(round='0') thenif(x=0 and y=6)thenwin_temp<='1'else
43、win_temp<='0'end if;elseif(x=0 and y=4)thenwin_temp<='1'else win_temp<='0'end if;end if;end process p4;out_state<=win_temp;end;點(diǎn)陣顯示控制器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity show_control isport(clk_2:in std_logic;s_start:in
44、 std_logic;round:in std_logic;s_reset:in std_logic;time_up:in std_logic;win:in std_logic;x_g:in std_logic_vector(3 downto 0);y_g:in std_logic_vector(3 downto 0);row:out std_logic_vector(7 downto 0);red:out std_logic_vector(7 downto 0);green:out std_logic_vector(7 downto 0);end;architecture struct of
45、 show_control issignal temp_clk:std_logic;signal count:integer range 0 to 2;signal count_sweep:integer range 0 to 8;signal out_row:std_logic_vector(7 downto 0);signal out_r:std_logic_vector(7 downto 0);signal out_g:std_logic_vector(7 downto 0);beginp1:process(clk_2)beginif(clk_2'event and clk_2=
46、'1')thenif count=2 thencount<=0;temp_clk<=not temp_clk;elsecount<=count+1;end if;end if;end process p1;p2:process(temp_clk,s_start) -sweep countbeginif(temp_clk'event and temp_clk='1')thenif count_sweep=8 thencount_sweep<=0;elsecount_sweep<=count_sweep+1;end if;end
47、 if;end process p2;p3:process(clk_2,count_sweep,s_reset,s_start,win,x_g,y_g,round)beginif s_reset='1' thenout_row<="11111111"elseif(clk_2'event and clk_2='1')thenif(s_start='0')then -startcase count_sweep is-GOwhen 0=>out_row<="01111111"out_r&
48、lt;="00000000"out_g<="00000000"when 1=>out_row<="10111111"out_r<="11101110"out_g<="11101110"when 2=>out_row<="11011111"out_r<="10100001"out_g<="10100001"when 3=>out_row<="11101111&qu
49、ot;out_r<="10100001"out_g<="10100001"when 4=>out_row<="11110111"out_r<="10101101"out_g<="10101101"when 5=>out_row<="11111011"out_r<="10101001"out_g<="10101001"when 6=>out_row<="11
50、111101"out_r<="11101110"out_g<="11101110"when 7=>out_row<="11111110"out_r<="00000000"out_g<="00000000"when others=>out_row<="11111111"out_r<="00000000"out_g<="00000000"end case;elseif(t
51、ime_up='1')then -losecase count_sweep is -broken heartwhen 7=>out_row<="01111111"out_r<="01000010"out_g<="00000000"when 6=>out_row<="10111111"out_r<="11100111"out_g<="00000000"when 5=>out_row<="110
52、11111"out_r<="11100111"out_g<="00000000"when 4=>out_row<="11101111"out_r<="11100111"out_g<="00000000"when 3=>out_row<="11110111"out_r<="01100110"out_g<="00000000"when 2=>out_row<=
53、"11111011"out_r<="00100100"out_g<="00000000"when 1=>out_row<="11111101"out_r<="00000000"out_g<="00000000"when 0=>out_row<="11111110"out_r<="00000000"out_g<="00000000"when others=&g
54、t;out_row<="11111111"out_r<="00000000"out_g<="00000000"end case;elseif(win='1')then -successcase count_sweep is -heartwhen 7=>out_row<="01111111"out_r<="00100010"out_g<="00000000"when 6=>out_row<="101
55、11111"out_r<="01110111"out_g<="00000000"when 5=>out_row<="11011111"out_r<="01111111"out_g<="00000000"when 4=>out_row<="11101111"out_r<="01111111"out_g<="00000000"when 3=>out_row<=
56、"11110111"out_r<="00111110"out_g<="00000000"when 2=>out_row<="11111011"out_r<="00011100"out_g<="00000000"when 1=>out_row<="11111101"out_r<="00001000"out_g<="00000000"when 0=>out_row<="11111110"out_r<="00000000"out_g<="00000000"when others=>out_row<="11111111"out_r<="00000000"out_g<="00000000"end case;elseif(round='
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025版產(chǎn)業(yè)升級募集資金三方監(jiān)管與支持合同4篇
- 2025年企業(yè)數(shù)字化智能物聯(lián)網(wǎng)物聯(lián)網(wǎng)連接合作協(xié)議
- 2025年家族財(cái)富傳承繼承管理規(guī)劃遺產(chǎn)協(xié)議
- 2025版委托擔(dān)保合同范本:互聯(lián)網(wǎng)金融平臺風(fēng)險(xiǎn)控制協(xié)議3篇
- 《地球上生命的起源課件》
- 二零二五年度生態(tài)旅游區(qū)開發(fā)合同書4篇
- 二零二五年度退休返聘人員合同終止告知書
- 二零二五年度大學(xué)生就業(yè)實(shí)習(xí)實(shí)訓(xùn)基地合作框架協(xié)議范本
- 2025年度醫(yī)療健康管理系統(tǒng)軟件購銷合同模板
- 2025年度汽車零部件車輛質(zhì)押租賃協(xié)議
- 2025年度公務(wù)車輛私人使用管理與責(zé)任協(xié)議書3篇
- 售后工程師述職報(bào)告
- 綠化養(yǎng)護(hù)難點(diǎn)要點(diǎn)分析及技術(shù)措施
- 2024年河北省高考?xì)v史試卷(含答案解析)
- 車位款抵扣工程款合同
- 小學(xué)六年級數(shù)學(xué)奧數(shù)題100題附答案(完整版)
- 高中綜評項(xiàng)目活動(dòng)設(shè)計(jì)范文
- 英漢互譯單詞練習(xí)打印紙
- 2023湖北武漢華中科技大學(xué)招聘實(shí)驗(yàn)技術(shù)人員24人筆試參考題庫(共500題)答案詳解版
- 一氯二氟甲烷安全技術(shù)說明書MSDS
- 物流簽收回執(zhí)單
評論
0/150
提交評論