出租汽車?yán)锍逃?jì)價(jià)表_第1頁(yè)
出租汽車?yán)锍逃?jì)價(jià)表_第2頁(yè)
出租汽車?yán)锍逃?jì)價(jià)表_第3頁(yè)
出租汽車?yán)锍逃?jì)價(jià)表_第4頁(yè)
出租汽車?yán)锍逃?jì)價(jià)表_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、電子技術(shù)課程設(shè)計(jì)任務(wù)書(shū)1.課程設(shè)計(jì)的內(nèi)容和要求(包括原始數(shù)據(jù)、技術(shù)要求、工作要求等) :出租車自動(dòng)計(jì)費(fèi)器設(shè)計(jì)(1)設(shè)計(jì)一個(gè)出租車自動(dòng)計(jì)費(fèi)器,具有行車?yán)锍逃?jì)費(fèi),等候時(shí)間計(jì)費(fèi)及起價(jià) 等三局部.用四位數(shù)碼管顯示總金額,最大值為99.99元.(2)行車?yán)锍虇蝺r(jià)(0.#阮/公里)、等候時(shí)間單價(jià)(0.#元/10分鐘)、起價(jià)(#.#元)均能通過(guò)數(shù)碼BCD管撥盤(pán)輸入.(3)在車輛啟動(dòng)與停止時(shí)發(fā)出音響信號(hào),以提醒顧客注意.(4)采用外部直流電源供電.(5) *聯(lián)接微型打印機(jī)打印收據(jù)接口電路.電子技術(shù)課程設(shè)計(jì)任務(wù)書(shū)2 .對(duì)課程設(shè)計(jì)成果的要求包括圖表、實(shí)物等硬件要求:I m一,m一, 1: *T 一!,!F , 1

2、: 一: mH 1!,! m I設(shè)計(jì)電路,安裝調(diào)試或仿真,分析實(shí)驗(yàn)結(jié)果,并寫(xiě)出設(shè)計(jì)說(shuō)明書(shū),語(yǔ)言流暢簡(jiǎn)潔,文字不得少于3500字.要求圖紙布局合理,符合工程要求,使用 Protel軟件繪出原理圖SCH和印制電路板PCB,器件選擇要有計(jì)算依據(jù).3 .主要參考文獻(xiàn):1謝自美.電子線路設(shè)計(jì)、實(shí)驗(yàn)、測(cè)試M華中理工大學(xué),20012彭介華.電子技術(shù)課程設(shè)計(jì)指導(dǎo)M.北京:高等教育出版社,19973畢滿清.電子技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì)M.北京:機(jī)械工業(yè)出版社,19954陳明義.電工電子技術(shù)課程設(shè)計(jì)指導(dǎo) M.長(zhǎng)沙:中南大學(xué)出版社,20025陳永甫.新編555集成電路應(yīng)用800例M.北京:電子工業(yè)出版社20004 .課程

3、設(shè)計(jì)工作進(jìn)度方案:序號(hào)起止日期工作內(nèi)容12021-1-3卜一布置任務(wù),教師講解設(shè)計(jì)方法及要求22021-1-4學(xué)生查找閱讀資料,并確定方案32021-1-5j學(xué)生設(shè)計(jì)小組會(huì)議,討論方案42021-1-611設(shè)計(jì)、仿真實(shí)驗(yàn)52021-1-1213寫(xiě)說(shuō)明書(shū),小組討論62021-1-14辯論llj指導(dǎo)教師 蘇澤光日期:2021年12 月 日引言 11系統(tǒng)設(shè)計(jì) 21.1 設(shè)計(jì)內(nèi)容21.2 設(shè)計(jì)目的21.3 3設(shè)計(jì)要求21.4 設(shè)計(jì)思路21.5 設(shè)計(jì)框圖21.6 設(shè)計(jì)所需的元件32 .工作原理 32.1 總體設(shè)想 32.2 AT89S51單片機(jī)及其引腳說(shuō)明 32.3 AT24C02引腳圖及其引腳功能、掉

4、電存儲(chǔ)單元設(shè)計(jì) 52.4 里程計(jì)算、計(jì)價(jià)單元的設(shè)計(jì) 62.5 按鍵單元的設(shè)計(jì) 82.6 語(yǔ)音功能的設(shè)計(jì) 83 .系統(tǒng)主程序設(shè)計(jì) 83.1 定時(shí)中斷效勞程序設(shè)計(jì) 93.2 里程計(jì)數(shù)中斷效勞程序設(shè)計(jì) 93.3 中途等待中斷效勞程序設(shè)計(jì) 93.4 顯示子程序效勞程序設(shè)計(jì) 93.5 鍵盤(pán)效勞程序設(shè)計(jì) 94 .系統(tǒng)測(cè)試 114.1 測(cè)試使用的儀器或軟件 114.2 出租汽車?yán)锍逃?jì)價(jià)表VHDLS序 114.2.1 模塊設(shè)計(jì) 114.2.2 VHDL程序設(shè)計(jì) 114.3 出租汽車?yán)锍逃?jì)價(jià)表模塊原理圖符號(hào) 144.4 程序仿真圖 15結(jié)論 17參考文獻(xiàn) 18心得體會(huì) 19附錄1主要元器件清單 20附錄2電路原

5、理圖及印制板圖 21摘要本設(shè)計(jì)闡述了以多數(shù)地區(qū)的出租車計(jì)價(jià)器為例,采用VHDL硬件描述語(yǔ)言編寫(xiě)主程序并利用ED儆術(shù)仿真設(shè)計(jì)的出租車計(jì)費(fèi)器,使其具有計(jì)量功能、漏電保護(hù)功能、語(yǔ)音 功能等.本設(shè)計(jì)是以單片機(jī) AT89C51為核心,以8279、7407, 75451等智能芯片為外設(shè) 的出租車計(jì)價(jià)器的微機(jī)系統(tǒng)設(shè)計(jì).給出了硬件電路圖及主程序流程圖,闡述了軟硬件設(shè)計(jì)過(guò)程中關(guān)鍵技術(shù)的處理.關(guān)鍵詞:出租車計(jì)價(jià)器,單片機(jī) AT89S51 VHDL EDAThis design expatiated in most areas of the taxi devices as an example, the autho

6、rs VHDLVHDLwrite main-level programs and using EDAtechnology simulation design taxi piece, make its have metering, leakage protection function, the speech function etc. This design based on single-chip microcomputer AT89C51 as the core, with 75451 etc, 8279, 7407 intelligent chips for peripheral tax

7、i meter of microcomputer system design. It also presents the hardware circuit diagram and main program flowchart, elaborated the hardware and software design process of key technologies of the processing.Keywords: taxi meter, microcontroller, VHDL, EDA AT89S51引言但凡坐過(guò)出租車的人都知道,只要出租車一開(kāi)動(dòng),隨著行駛里程的增加,都會(huì)看到 出

8、租車前面的計(jì)價(jià)器里程數(shù)字顯示的讀數(shù)從零開(kāi)始逐漸增大,而當(dāng)行駛到某一數(shù)值如 3km時(shí)計(jì)費(fèi)數(shù)字顯示開(kāi)始從起步價(jià)如 8元增加.到達(dá)目的地時(shí),便可以按計(jì)價(jià)器 顯示的數(shù)字收費(fèi).隨著生活水平的提升,人們已不再滿足于衣食住的享受,出行的舒適已受到越來(lái)越 多人的關(guān)注.于是,出租車行業(yè)以低價(jià)高質(zhì)的效勞給人們帶來(lái)了出行的享受.但是總存 在著買賣糾紛困擾著行業(yè)的開(kāi)展.而在出租車行業(yè)中解決這一矛盾的最好方法就是改進(jìn) 計(jì)價(jià)器.用更加精良的計(jì)價(jià)器來(lái)為乘客提供更加方便快捷的效勞.汽車計(jì)價(jià)器是乘客與司機(jī)雙方的交易準(zhǔn)那么,它是出租車行業(yè)開(kāi)展的重要標(biāo)志,是出 租車中最重要的工具.它關(guān)系著交易雙方的利益.具有良好性能的計(jì)價(jià)器無(wú)論是

9、對(duì)廣闊 出租車司機(jī)朋友還是乘客來(lái)說(shuō)都是很必要的.因此,汽車計(jì)價(jià)器的研究也是十分有一個(gè) 應(yīng)用價(jià)值的.電路和數(shù)字電路設(shè)計(jì)的計(jì)價(jià)器整體電路的規(guī)模較大, 用到的器件多,造成故障率高, 難調(diào)試,對(duì)于模式的切換需要用到機(jī)械開(kāi)關(guān),機(jī)械開(kāi)關(guān)時(shí)間久了會(huì)造成接觸不良,功能 不易實(shí)現(xiàn).為此我們采用了單片機(jī)進(jìn)行設(shè)計(jì),相對(duì)來(lái)說(shuō)功能強(qiáng)大,用較少的硬件和適當(dāng) 的軟件相互配合可以很容易的實(shí)現(xiàn)設(shè)計(jì)要求,且靈活性強(qiáng),可以通過(guò)軟件編程來(lái)完成更 多的附加功能.針對(duì)計(jì)費(fèi)模式的切換,通過(guò)軟件編程就可以輕易而舉的實(shí)現(xiàn).防止了機(jī) 械開(kāi)關(guān)帶來(lái)的不穩(wěn)定因素.現(xiàn)在各大中城市出租車行業(yè)都已普及自動(dòng)計(jì)價(jià)器,所以計(jì)價(jià)器技術(shù)的開(kāi)展已成定 局.而局部小城市

10、尚未普及,但隨著城市建設(shè)日益加快,象征著城市面貌的出租車行業(yè) 也將加速開(kāi)展,計(jì)價(jià)器的普及也是毫無(wú)疑問(wèn)的,所以未來(lái)汽車計(jì)價(jià)器的市場(chǎng)還是十分有 潛力的.1系統(tǒng)設(shè)計(jì)1.1 設(shè)計(jì)內(nèi)容(1)設(shè)計(jì)秒信號(hào)、0.1分信號(hào)脈沖產(chǎn)生器.(2)選用十進(jìn)制系數(shù)乘法器.(3)設(shè)計(jì)四級(jí)BCM計(jì)數(shù)、譯碼和顯示器.(4)選用產(chǎn)生行駛里程信號(hào)的干簧繼電器作為脈沖產(chǎn)生電路.1.2 設(shè)計(jì)目的(1)掌握出租汽車?yán)锍逃?jì)價(jià)表的設(shè)計(jì)、組裝與調(diào)試方法.(2)掌握同步十進(jìn)制系數(shù)乘法計(jì)數(shù)芯片 74167的工作原理(3)進(jìn)一步加深對(duì)計(jì)數(shù)、存放器、譯碼及顯示電路的理解.1.3 設(shè)計(jì)要求(1)根據(jù)任務(wù),設(shè)計(jì)整機(jī)邏輯電路,畫(huà)出詳細(xì)框圖和總原理圖.(2)

11、選用中小規(guī)模集成器件,實(shí)現(xiàn)所選定的電路.提出器材清單.(3)在邏輯箱上接插電路,并進(jìn)行單元電路調(diào)試和整機(jī)調(diào)試.(4)檢查設(shè)計(jì)結(jié)果.1.4 設(shè)計(jì)思路本次設(shè)計(jì)以51單片機(jī)作為限制器,用霍爾傳感器集成芯片44E檢測(cè)并輸出脈沖,送到單片機(jī), 由單片機(jī)完成計(jì)程數(shù)及車費(fèi)的換算及顯示.輸出采用7段數(shù)碼顯示管.通過(guò)人工按鍵的方式進(jìn)行情況的切換.1.5 設(shè)計(jì)框圖圖1-1程序設(shè)計(jì)框圖1.6 設(shè)計(jì)所需的元件AT89S51單片機(jī)一個(gè),喇叭一個(gè),七段顯示器4個(gè),M24C02DW6片一個(gè),RESPACK3 原件四個(gè),74HC164E片四個(gè),行程開(kāi)關(guān)SW-P的干個(gè),con2, 3, 4插針各一個(gè),電源 假設(shè)干,接地假設(shè)干,

12、電阻假設(shè)干,無(wú)極性電容假設(shè)干個(gè).2 .工作原理2.1 1總體設(shè)想它由以下幾個(gè)部件組成:?jiǎn)纹瑱C(jī)AT89S51總金額及單價(jià)顯示部件、鍵盤(pán)限制部件, AT24C02掉電存儲(chǔ)限制、里程計(jì)算單元、用中顯示驅(qū)動(dòng)電路等.利用單片機(jī)豐富的IO端口,及其限制的靈活性,實(shí)現(xiàn)根本的里程計(jì)價(jià)功能和價(jià)風(fēng)格 節(jié)、時(shí)鐘顯示功能.不但能實(shí)現(xiàn)所要求的功能而且能在很大的程度上擴(kuò)展功能,而且還 可以方便的對(duì)系統(tǒng)進(jìn)行升級(jí).具體電路參見(jiàn)“總體電路圖.圖2-1單片機(jī)限制方案2.2 AT89S51單片機(jī)及其引腳說(shuō)明AT89S51是一個(gè)低功耗,高性能CMOS位單片機(jī),片內(nèi)含4k Bytes ISP (In-system programmab

13、le)的可反復(fù)擦寫(xiě)100畋的Flash只讀程序存儲(chǔ)器,器件采用 ATMEL司的 高密度、非易失性存儲(chǔ)技術(shù)制造,兼容標(biāo)準(zhǔn) MCS-5指令系統(tǒng)及80C5你腳結(jié)構(gòu),芯片內(nèi) 集成了通用8位中央處理器和ISP Flash存儲(chǔ)單元,具有很高性價(jià)比.AT89S51是一個(gè)有40個(gè)引腳的芯片,引腳配置如下列圖所示.VI1PLflT;Pl 1 T2EXPllPl JPL4Pl_5PLf Pl. 7ALPSXSKxD P3.1 TiDP3.l P3J而P34TOP3 iTlP3 6甌P3.KD封皿XULlGNDVCC PO 0 4D0 P0 1ADI 的LW PO3.AD3 M4,AD4 M5AD5 PT ADf

14、PO 7 AD,EAWPRST pmPio AS PIO A5P15A10 K0A1I P2 Q A12 P2 0 A13 PZ0.A14 Pl .A15401桀3期453ff;5丁533-支03110if1119921n14in15w15加1715261927IS 11g圖2-2 AT89S5制腳配置AT89S51芯片的40個(gè)引腳功能為:VCC 電源電壓.GND 接地.RST 復(fù)位輸入.當(dāng)RS儂為高電平并保持2個(gè)機(jī)器周期時(shí),將使單片機(jī)復(fù)位. WD琉出將使該引腳輸出高電平,設(shè)置 SFRAUXR勺DISRTO:地址8EH可翻開(kāi)或關(guān) 閉該功能.DISKRT3缺省為RESET俞出高電平翻開(kāi)狀態(tài).XT

15、AL1反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入.XTAL2來(lái)自反向振蕩放大器的輸出.P0 口 一組8位漏極開(kāi)路型雙向I/O 口.也即地址/數(shù)據(jù)總線復(fù)用口.作為輸出口 用時(shí),每位能驅(qū)動(dòng)8個(gè)TTL邏輯門電路,對(duì)端口寫(xiě)“ 1可作為高阻抗輸入端用.在訪 問(wèn)外部數(shù)據(jù)存儲(chǔ)器或程序存儲(chǔ)器時(shí), 這組口線分時(shí)轉(zhuǎn)換地址低8位和數(shù)據(jù)總線復(fù)用, 在訪問(wèn)期間激活內(nèi)部上拉電阻.在Flash編程時(shí),P0 口接收指令字節(jié),而在程序校驗(yàn)時(shí), 輸出指令字節(jié),校驗(yàn)時(shí),要求外接上拉電阻.P1 口一個(gè)帶內(nèi)部上拉電阻的8位雙向I/O 口,P1的輸出緩沖級(jí)可驅(qū)動(dòng)吸收或輸出電流4個(gè)TTL邏輯門電路.對(duì)端口寫(xiě)“ 1,通過(guò)內(nèi)部的上拉電阻把端

16、口拉到高電 平,此時(shí)可作輸入口.作輸入口使用時(shí),由于內(nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào) 拉低時(shí)會(huì)輸出一個(gè)電流IIL .Flash編程和程序校驗(yàn)期間,P1接收低8位地址.P1 口局部端口引腳及功能如表1所示.表1 P1 口特殊功能pi 口引腳特殊功能P1.5MOSI用于ISP編程P1.6MOSI用于ISP編程P1.7SCK用于ISP編程P2 口一個(gè)帶內(nèi)部上拉電阻的8位雙向I/O 口.P1的輸出緩沖級(jí)可驅(qū)動(dòng)吸收或輸出電流4個(gè)TTL邏輯門電路.對(duì)端口寫(xiě)“ 1,通過(guò)內(nèi)部的上拉電阻把端口拉到高 電平,此時(shí)可作輸入口.作輸入口使用時(shí),由于內(nèi)部存在上拉電阻,某個(gè)引腳被外部信 號(hào)拉低時(shí)會(huì)輸出一個(gè)電流IIL

17、0在訪問(wèn)外部程序存儲(chǔ)器或16位地址的外部數(shù)據(jù)存儲(chǔ) 器時(shí),P2 口送出高8位地址數(shù)據(jù).在訪問(wèn)8位地址的外部數(shù)據(jù)存儲(chǔ)器時(shí),P2 口線上的 內(nèi)容在整個(gè)訪問(wèn)期間不改變.Flash編程和程序校驗(yàn)期間,P2亦接收低8位地址.P3 口一個(gè)帶內(nèi)部上拉電阻的8位雙向I/O 口.P3的輸出緩沖級(jí)可驅(qū)動(dòng)吸收或輸出電流4個(gè)TTL邏輯門電路.對(duì)P3口寫(xiě)“1時(shí),它們被內(nèi)部的上拉電阻把拉到 高電并可作輸入端口.作輸入端口使用時(shí),被外部拉低的P3 口將用上拉電阻輸出電流IIL .P3 口除了作為一般的I/O 口線外,更重要的用途是它的第二功能,如表2所示. P3 口還接收一些用于Flash閃速存儲(chǔ)器編程和程序校驗(yàn)期間的限制信

18、號(hào).表2 P3 口特殊功能P3口引腳特殊功能P3.0RXD串行輸入口P3.1TXD 用行輸出口P3.2外部中斷0P3.3麗i 外部中斷1P3.4T0 定時(shí)器0外部輸入P3.5T1 定時(shí)器1外部輸入P3.61麗1 外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通P3.7鉛外部數(shù)據(jù)存儲(chǔ)器讀選通PSEN程序儲(chǔ)存允許輸出是外部程序存儲(chǔ)器的讀先通信號(hào),當(dāng)AT89S51由外部程 序存儲(chǔ)器取指令或數(shù)據(jù)時(shí),每個(gè)機(jī)器周期兩次PSEN有效,即輸出兩個(gè)脈沖.當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器,沒(méi)有兩次有效的 PSEN信號(hào).EA/VPP外部訪問(wèn)允許.欲使CPUR訪問(wèn)外部程序存儲(chǔ)器,EA端必須保持低電平, 需注意的是:如果加密位LB1被編程,復(fù)位時(shí)內(nèi)部會(huì)鎖存E

19、A端狀態(tài).Flash存儲(chǔ)器編程 時(shí),該引腳加上+12V的編程電壓VPP2.3 AT24C02引腳圖及其引腳功能AT24C02片弓|腳配置如下列圖所示:圖2-3 AT24C02弓|腳配置圖AT24C025片DIP封裝,共有8個(gè)引腳,下表是其引腳功能表:引腳名功能A0A2地址引腳SDA/ SCL連續(xù)數(shù)據(jù)信號(hào)/連續(xù)時(shí)鐘 信號(hào)WP寫(xiě)保護(hù)引腳VCC/GND電源端/接地端W接VSS寸,禁止寫(xiě)入高位地址, W接VD時(shí),允許寫(xiě)入任何地址;2.3.1 AT24C02 掉電存儲(chǔ)單元的設(shè)計(jì)掉電存儲(chǔ)單元的作用是在電源斷開(kāi)的時(shí)候,存儲(chǔ)當(dāng)前設(shè)定的單價(jià)信息.AT24C02是ATMEL公司的2KB?節(jié)的電可擦除存儲(chǔ)芯片,采用

20、兩線串行的總線和單片機(jī)通訊, 電壓最低可以到2.5V,額定電流為1mA靜態(tài)電流10Ua 5.5V,芯片內(nèi)的資料可以 在斷電的情況下保存40年以上,而且采用8腳的DIP封裝,使用方便.其電路如下列圖:圖中R& R配上拉電阻,其作用是減少M(fèi)24C0妁靜態(tài)功耗,由于M24C02數(shù)據(jù)線 和地址線是復(fù)用的,采用用口的方式傳送數(shù)據(jù),所以只用兩根線 SCL 移位脈沖和 SDA數(shù)據(jù)/地址與單片機(jī)傳送數(shù)據(jù).每當(dāng)設(shè)定一次單價(jià),系統(tǒng)就自動(dòng)調(diào)用存儲(chǔ)程序,將單價(jià)信息保存在芯片內(nèi);當(dāng)系 統(tǒng)重新上電的時(shí)候,自動(dòng)調(diào)用讀存儲(chǔ)器程序,將存儲(chǔ)器內(nèi)的單價(jià)等信息,讀到緩存 單元中,供主程序使用.2.4 里程計(jì)算、計(jì)價(jià)單元的設(shè)計(jì)里程計(jì)算

21、是通過(guò)安裝在車輪上的霍爾傳感器 A44E僉測(cè)到的信號(hào),送到單片機(jī),經(jīng)處 理計(jì)算,送給顯示單元的.其原理如下:89S51 單片圖2-5傳感器測(cè)距示意圖由于A44E屬于開(kāi)關(guān)型的霍爾器件,其工作電壓范圍比擬寬4.518V,其輸出的 信號(hào)符合TTL電平標(biāo)準(zhǔn),可以直接接到單片機(jī)的IO端口上,而且其最高檢測(cè)頻率可達(dá) 到 1MHz(b)圖2-6集成開(kāi)關(guān)型霍耳傳感器原理圖A44辣成霍耳開(kāi)關(guān)由穩(wěn)壓器A霍耳電勢(shì)發(fā)生器即硅霍耳片B、差分放大器C、施 密特觸發(fā)器DffiOCI輸出E五個(gè)根本局部組成,如上圖所示.1、2、3代表集成 霍耳開(kāi)關(guān)的三個(gè)引出端點(diǎn).在輸入端輸入電壓VCC經(jīng)穩(wěn)壓器穩(wěn)壓后加在霍耳電勢(shì)發(fā)生器的兩端,根

22、據(jù)霍耳效 應(yīng)原理,當(dāng)霍耳片處在磁場(chǎng)中時(shí),在垂直于磁場(chǎng)的方向通以電流,那么與這二者相垂直的 方向上將會(huì)產(chǎn)生霍耳電勢(shì)差V輸出,該Vh信號(hào)經(jīng)放大器放大后送至施密特觸發(fā)器整形, 使其成為方波輸送到OC門輸出.當(dāng)施加的磁場(chǎng)到達(dá)工作點(diǎn)即Bo時(shí),觸發(fā)器輸出 高電壓相對(duì)于地電位,使三極管導(dǎo)通,此時(shí)OC1輸出端輸出低電壓,通常稱這種狀 態(tài)為開(kāi).當(dāng)施加的磁場(chǎng)到達(dá)釋放點(diǎn)即Bp時(shí),觸發(fā)器輸出低電壓,三極管截止, 使OC1輸出高電壓,這種狀態(tài)為關(guān).這樣兩次電壓變換,使霍耳開(kāi)關(guān)完成了一次開(kāi) 關(guān)動(dòng)作.(a)其集成霍耳開(kāi)關(guān)外形及接線如下列圖:J f+12VA44E b圖2-7集成霍耳開(kāi)關(guān)外形及接線我們選擇了 P3.2 口作為

23、信號(hào)的輸入端,內(nèi)部采用外部中斷0 這樣可以減少程序設(shè) 計(jì)的麻煩,車輪每轉(zhuǎn)一圈我們?cè)O(shè)車輪的周長(zhǎng)是1米,霍爾開(kāi)關(guān)就檢測(cè)并輸出信號(hào), 引起單片機(jī)的中斷,對(duì)脈計(jì)數(shù),當(dāng)計(jì)數(shù)到達(dá) 1000次時(shí),也就是1公里,單片機(jī)就限制將金額自動(dòng)的加增加,其計(jì)算公式:當(dāng)前單價(jià)X公里數(shù) =金額.2.5 按鍵單元的設(shè)計(jì)電路共采用了四個(gè)按鍵,S1、S2、S3、S4,其功能分別是:S1分屏顯示切換按鍵, S2功能設(shè)定按鍵,S3 十/白天晚上切換按鍵,S4/中途等待開(kāi)關(guān).2.6 語(yǔ)音功能的設(shè)計(jì)語(yǔ)音功能主要用于語(yǔ)音提示:為降低計(jì)價(jià)器本錢,節(jié)省單片機(jī)I/O資源,語(yǔ)音功能的實(shí)現(xiàn)沒(méi)有采用專用語(yǔ)音芯片,而是由4個(gè)權(quán)電阻構(gòu)成.如下列圖所示:圖

24、2-8語(yǔ)音功能電路語(yǔ)音信號(hào)經(jīng)A/D采集處理后壓縮成4位,存儲(chǔ)在程序存儲(chǔ)器中,由軟件使用.程序 存儲(chǔ)器中可存儲(chǔ)70K勺語(yǔ)音數(shù)據(jù),共60個(gè)漢語(yǔ)詞匯.3 .系統(tǒng)的軟件設(shè)計(jì)本系統(tǒng)的軟件設(shè)計(jì)主要可分為主程序模塊、定時(shí)計(jì)數(shù)中斷程序、里程計(jì)數(shù)中斷效勞 程序、中途等待中斷效勞程序、顯示子程序效勞程序、鍵盤(pán)效勞程序六大模塊.下面對(duì) 各局部模塊作介紹.3.1 系統(tǒng)主程序設(shè)計(jì)在主程序模塊中,需要完成對(duì)各接口芯片的初始化、出租車起價(jià)和單價(jià)的初始化、 中斷向量的設(shè)計(jì)以及開(kāi)中斷、循環(huán)等待等工作.另外,在主程序模塊中還需要設(shè)置啟動(dòng) /去除標(biāo)志存放器、里程存放器和價(jià)格存放器,并對(duì)它們進(jìn)行初始化.然后,主程序?qū)?根據(jù)各標(biāo)志存放

25、器的內(nèi)容,分別完成啟動(dòng)、去除、計(jì)程和計(jì)價(jià)等不同的操作.其程序流 程如主程序流程圖所示.當(dāng)按下S1時(shí),就啟動(dòng)計(jì)價(jià),將根據(jù)里程存放器中的內(nèi)容計(jì)算和 判斷出行駛里程是否已超過(guò)起價(jià)公里數(shù).假設(shè)已超過(guò),那么根據(jù)里程值、每公里的單價(jià)數(shù)和 起價(jià)數(shù)來(lái)計(jì)算出當(dāng)前的累計(jì)價(jià)格,并將結(jié)果存于價(jià)格存放器中,然后將時(shí)間和當(dāng)前累計(jì) 價(jià)格送顯示電路顯示出來(lái).當(dāng)?shù)竭_(dá)目的地的時(shí)候,由于霍爾開(kāi)關(guān)沒(méi)有送來(lái)脈沖信號(hào),就停止計(jì)價(jià),顯示當(dāng)前所應(yīng)該付的金額和對(duì)應(yīng)的單價(jià),到下次啟動(dòng)計(jì)價(jià)時(shí),系統(tǒng)自動(dòng)對(duì)顯 示清零,并重新進(jìn)行初始化過(guò)程.3.2 定時(shí)中斷效勞程序設(shè)計(jì)在定時(shí)中斷效勞程序中,每100ms產(chǎn)生一次中斷,當(dāng)產(chǎn)生10次中斷的時(shí)候,也就到 了一

26、秒,送數(shù)據(jù)到相應(yīng)的顯示緩沖單元,并調(diào)用顯示子程序?qū)崟r(shí)顯示.其程序流程如定 時(shí)中斷效勞程序圖所示.3.3 里程計(jì)數(shù)中斷效勞程序設(shè)計(jì)每當(dāng)霍爾傳感器輸出一個(gè)低電平信號(hào)就使單片機(jī)中斷一次,當(dāng)里程計(jì)數(shù)器對(duì)里程脈沖計(jì)滿1000次時(shí),就有程序?qū)?dāng)前總額,使微機(jī)進(jìn)入里程計(jì)數(shù)中斷效勞程序中.在該程 序中,需要完成當(dāng)前行駛里程數(shù)和總額的累加操作, 并將結(jié)果存入里程和總額存放器中. 其程序流程如定時(shí)中斷效勞程序圖所示.3.4 中途等待中斷效勞程序設(shè)計(jì)當(dāng)在計(jì)數(shù)狀態(tài)下霍爾開(kāi)關(guān)沒(méi)有輸出信號(hào),片內(nèi)的 T1定時(shí)器便被啟動(dòng),每當(dāng)計(jì)時(shí)到 達(dá)10分鐘,就對(duì)當(dāng)前金額加上中途等待的單價(jià),以后每十分鐘都自動(dòng)加上中途等待的單 價(jià).當(dāng)中途等

27、待結(jié)束的時(shí)候,也就自動(dòng)切換到正常的計(jì)價(jià).3.5 顯示子程序效勞程序設(shè)計(jì)由于是分屏顯示數(shù)據(jù),所以就要用到2個(gè)顯示子程序,分別是:時(shí)分秒顯示子程序 (HMS_DI&金額單價(jià)顯示子程序(CP_DIS.3.6 鍵盤(pán)效勞程序設(shè)計(jì)鍵盤(pán)采用查詢的方式,放在主程序中,當(dāng)沒(méi)有按鍵按下的時(shí)候,單片機(jī)循環(huán)主程序, 一旦右按鍵按下,便轉(zhuǎn)向相應(yīng)的子程序處理,處理結(jié)束再返回.其程序流程如下圖.10次骷快下恢復(fù)現(xiàn)場(chǎng) 并中斷返回=* -噂設(shè)定就認(rèn)數(shù)據(jù)送顯示緩沖單元保護(hù)現(xiàn)場(chǎng)調(diào)用顯示子程序重置計(jì)數(shù)初值開(kāi)始停工計(jì)仍莖星毒忘里程二】 總溺空I利鼬弟據(jù)顯示三支二埠手圖3-1程序設(shè)計(jì)流程圖4 .系統(tǒng)測(cè)試4.1 測(cè)試使用的儀器或軟件由于目

28、前制作上的困難,該系統(tǒng)只作計(jì)算機(jī)仿真.仿真時(shí)我們采用VHDLX系統(tǒng)進(jìn)行仿真.4.2 出租汽車?yán)锍逃?jì)價(jià)表 VHDI序4.2.1 模塊設(shè)計(jì)(1)秒分頻模塊秒分頻模塊對(duì)頻率為240Hz的輸入脈沖進(jìn)行分頻,得到16Hz、15Hz、1Hz三種頻率.(2)限制模塊限制模塊是系統(tǒng)的核心局部,對(duì)計(jì)價(jià)器的狀態(tài)進(jìn)行限制.(3)計(jì)量模塊計(jì)量模塊完成計(jì)價(jià)、計(jì)時(shí)、計(jì)程功能.計(jì)價(jià)局部:當(dāng)出租車的起價(jià)為5元,當(dāng)里程小于3km時(shí),按起價(jià)計(jì)算;當(dāng)里程大于 3km時(shí),按1.3元/km計(jì)算.等待時(shí)間累計(jì)超過(guò)2min時(shí),按1.5元/min計(jì)算.計(jì)費(fèi)數(shù)據(jù) 送入顯示譯碼模塊進(jìn)行譯碼,最后送至百元、十元、元、角為單位對(duì)應(yīng)的數(shù)碼管上顯示.計(jì)

29、時(shí)局部:計(jì)算乘客的等待累計(jì)時(shí)間.計(jì)時(shí)器的量程為59min,滿量程自動(dòng)歸零.計(jì)時(shí)數(shù)據(jù)送入顯示譯碼模塊進(jìn)行譯碼,最后送至分為單位對(duì)應(yīng)的數(shù)碼管顯示.計(jì)程局部:計(jì)算乘客所行駛的公里數(shù).計(jì)程器的量程為99km,滿量程自動(dòng)歸零.計(jì)程數(shù)據(jù)送入顯示譯碼模塊進(jìn)行譯碼,最后送至以公里為單位對(duì)應(yīng)的數(shù)碼管顯示.(4)譯碼顯示模塊完成計(jì)價(jià)、計(jì)時(shí)、計(jì)程數(shù)據(jù)顯示.4.2.2 VHDL程序設(shè)計(jì)-文件名:taxi.hd .-功能:出租汽車?yán)锍逃?jì)價(jià)表.library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_U

30、NSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic;-頻率為240Hz的時(shí)鐘start :in std_logic;-計(jì)價(jià)使能一信號(hào)stop:in std_logic;-等待信號(hào)fin:in std_logic;-公里脈沖一信號(hào)cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0);一 一- 費(fèi)用數(shù)據(jù)km1,km0:out std_logic_vector(3 downto 0);-公里數(shù)據(jù)min1,min0: out std_logic_vector(3 downto 0);-等待時(shí)間

31、end taxi;頻率為15Hz, 16Hz, 1Hz的信號(hào)分頻器 分頻器 分頻器秒計(jì)數(shù)器制費(fèi)用計(jì)數(shù)器 公里計(jì)數(shù)器 分的十位計(jì)數(shù)器 分的個(gè)位計(jì)數(shù)器使能信號(hào)architecture behav of taxi issignal f_15,f_16,f_1:std_logic;-signal q_15:integer range 0 to 15;signal q_16:integer range 0 to 14;signal q_1:integer range 0 to 239;signal w:integer range 0 to 59;-signal c3,c2,c1,c0:std_logic

32、_vector(3 downto 0);signal k1,k0:std_logic_vector(3 downto 0);signal m1:std_logic_vector(2 downto 0);signal m0:std_logic_vector(3 downto 0);signal en1,en0,f:std_logic;-begin feipin:process(clk_240,start)beginif clk_240event and clk_240=1 thenifstart=0thenq_15=0;q_16=0;f_15=0;f_16=0;f_1=0;f=0;elseif

33、q_15=15 then q_15=0;f_15=1;-此 IF 語(yǔ)句得到頻率為15Hz的信號(hào)else q_15=q_15+1;f_15=0; end if;if q_16=14 then q_16=0;f_16=1;-止匕 IF 語(yǔ)句得到頻率為16Hz的信號(hào)else q_16=q_16+1;f_16=0;end if;if q_1=239 then q_1=0;f_1=1;-止匕 IF 語(yǔ)句得到頻一一率為1Hz的信號(hào)else q_1=q_1+1;f_1=0; end if;if en1=1 then f=f_15;-止匕 IF 語(yǔ)句得到計(jì)費(fèi)脈沖felsif en0=1 then f=f_16

34、;else f=0;end if;end if;end if;end process;process(f_1)beginif f_1event and f_1=1 thenif start=0 thenW=0;en1=0;en0=0;m1=000;m0=0000;k1=0000;k0=0000;elsif stop=1 thenif w=59 then w=0;-此 IF 語(yǔ)句完成等待計(jì)時(shí)if m0=1001 then m0=0000;-止匕 IF 語(yǔ)句完成分計(jì)數(shù)if m1=101 then m1=000;else m1=m1+1;end if;else m00000001then en1=1

35、;-止匕 IF 語(yǔ)句得到en1使能信號(hào)else en1=0;end if;else w=w+1;en1=0;end if;elsif fin=1 thenif k0=1001 then k0=0000;-此 IF 語(yǔ)句完成公里脈沖計(jì)數(shù)if k1=1001 then k1=0000;else k1=k1+1;end if;else k000000010 then en0=1;- 止匕 IF 語(yǔ)句得到 en0使能信號(hào)else en0=0;end if;else en1=0;en0=0;end if;cha3=c3;cha2=c2;cha1=c1;cha0=c0;-費(fèi)用數(shù)據(jù)輸出km1=k1;km0=

36、k0;min1=0&m1;min0=m0;-公里數(shù)據(jù)、分鐘數(shù)據(jù)輸出end if;end process;process(f,start)beginif start=0 then c3=0000;c2=0001;c1=0000;c0=0000;elsif fevent and f=1 thenif c0=1001 then c0=0000;-此 IF 語(yǔ)句完成對(duì)費(fèi)用的計(jì)數(shù)if c1=1001 then c1=0000;if c2=100T then c2=0000;if c3=1001 then c3=0000;else c3=c3+1;end if;else c2=c2+1;end if;el

37、se c1=c1+1;end if;else c0=c0+1;end if;end if;end process;end behav;4.3出租汽車?yán)锍逃?jì)價(jià)表模塊原理圖符號(hào)a clk_240 二 r finA start7 stopcha()l3.0Jcha 1 3 0cha23.Occha3l3J)J kni0|3刈min0|3t,0|圖4-1出租汽車?yán)锍逃?jì)價(jià)表模塊原理圖符號(hào)圖4.4程序仿真圖la .效 o趨 S S 手巴用曰郎 :1C |; rvBB 003dB1KMi 二 U二言言言言言言皂耳富言1ITCKdaaC311 hod t3E 口口 uu“門 口占bcil=E*口aw口cdkx

38、ri昌自7R-KRLU3Sb=1k再UI用K S- .F -1H- -!一7-W同w1.1五-H -.-=1,-法 曰-5S58SS31I8S目目總目目E-z目Cr 髭:.1= J-,-1-ra r-一,上一-.“一-a CI1-21ri1-11討-k-一工=-一L一=1-圖4-2為出租計(jì)價(jià)器程序仿真全圖A英IM313隊(duì) UH班3112iifirlfin*TC9口工U汨 叫 梆 函. 守15 扇皿 初S 出. 守35心叫 050 小 3 引】 &T5 V-flO 35 弓q. jl 2fk1V g r展 B M9 cbd 回 cbil出rLS 43 聞 5nl 田dl 田UL5S u國(guó)* HI

39、 !H io3iJ 國(guó)M8 3E r T i i bE EI, Bii 1i t*T*Mirhcj g60.(1 raltd.0 is213 D 二切QC1Im 14M,0 ezbGO.t 皿jMd.O xs 1p. 5 DE | JJrrjn_rn nLn.-1m.nrn j . fnQ邙Itoom4oon?1隴口MMc00 DGn- *dix.J . l -ag L Y 2 .x占X 8sB ;9Q狙X 11: 12 Y 13K殖t 口七二t G T i t E 一 X 5K b;川K ii日f(shuō)由丁匚/1I ; 2 t 3. 4dt2(秘Q 46F分巾國(guó)心1uL$B亞i山口Sa砧F國(guó)te)

40、i出IQS bjBi/15fl aid訓(xùn)0 MdB虧不B J6戢Q田U5G55d t_lerH國(guó)丫0 :導(dǎo)H國(guó)M1等苒 nflB甘心S M1聘s 15S dMalt! Tint BeIIInrr址OJujucDO Z5ODCOqihjUU.lODOa12 :AMD冊(cè)冊(cè)冊(cè)TmiT厚 岬岫MWM IHbllIIM -HI而 ULM目/.兒圖4-4為出租計(jì)價(jià)器程序仿真圖-25 .結(jié)論出租車計(jì)費(fèi)器系統(tǒng)的設(shè)計(jì)已全部完成,能按預(yù)期的效果進(jìn)行模擬汽車啟動(dòng)、停止、 暫停等功能,并設(shè)計(jì)動(dòng)態(tài)掃描電路顯示車費(fèi)數(shù)目,由動(dòng)態(tài)掃描電路來(lái)完成.車暫時(shí)停止 不計(jì)費(fèi),車費(fèi)保持不變.出租車停止和啟動(dòng)時(shí),分別會(huì)發(fā)出響聲信號(hào),提醒乘客注意

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論