版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、FPGA電氣技術(shù)實(shí)踐實(shí)驗(yàn)報(bào)告院(系)名稱 宇航學(xué)院 專業(yè)名稱 飛行器設(shè)計(jì)與工程(航天) 學(xué)生學(xué)號(hào) XXXXXXXX 學(xué)生姓名 XXXXXX 指導(dǎo)教師 XXXX 2017年11月XX日實(shí)驗(yàn)一 四位二進(jìn)制加法計(jì)數(shù)器與一位半加器的設(shè)計(jì)實(shí)驗(yàn)時(shí)間:2017.11.08(周三)晚 實(shí)驗(yàn)編號(hào)201、 實(shí)驗(yàn)?zāi)康?、 熟悉QuartusII的VHDL的文本編程及圖形編程流程全過(guò)程。2、 掌握簡(jiǎn)單邏輯電路的設(shè)計(jì)方法與功能仿真技巧。3、學(xué)習(xí)并掌握VHDL語(yǔ)言、語(yǔ)法規(guī)則。4、參照指導(dǎo)書(shū)實(shí)例實(shí)現(xiàn)四位二進(jìn)制加法計(jì)數(shù)器及一位半加器的設(shè)計(jì)。 2、 實(shí)驗(yàn)原理.略3、 實(shí)驗(yàn)設(shè)備1可編程邏輯實(shí)驗(yàn)箱EP3C55F484C8 一臺(tái)(包
2、含若干LED指示燈,撥碼開(kāi)關(guān)等)2計(jì)算機(jī)及開(kāi)發(fā)軟件QuartusII 一臺(tái)套4、 調(diào)試步驟1四位二進(jìn)制加法計(jì)數(shù)器(1) 參照指導(dǎo)書(shū)實(shí)例1進(jìn)行工程建立與命名。(2) VHDL源文件編輯由于實(shí)驗(yàn)箱上LED指示燈的顯示性質(zhì)為“高電平滅,低電平亮”,為實(shí)現(xiàn)預(yù)期顯示效果應(yīng)將原參考程序改寫(xiě)為減法器,且”q1= q1+1”對(duì)應(yīng)改為”q1= q1-1”,以實(shí)現(xiàn)每輸入一個(gè)脈沖“亮為1,滅為0”。由于參考程序中的rst清零輸入作用并未實(shí)現(xiàn),所以應(yīng)將程序主體部分的最外部嵌套關(guān)于rst輸入是否為1的判斷,且當(dāng)rst為1時(shí),給四位指示燈置數(shù)”1111”實(shí)現(xiàn)全滅,當(dāng)rst為0時(shí),運(yùn)行原計(jì)數(shù)部分。(3) 參照指導(dǎo)書(shū)進(jìn)行波形
3、仿真與管腳綁定等操作,鏈接實(shí)驗(yàn)箱并生成下載文件(4) 將文件下載至實(shí)驗(yàn)箱運(yùn)行,觀察計(jì)數(shù)器工作現(xiàn)象,調(diào)試撥動(dòng)開(kāi)關(guān)查看是否清零??梢酝ㄟ^(guò)改變與PIN_P20(工程中綁定為clk輸入的I/O接口)相連導(dǎo)線的另一端所選擇的實(shí)驗(yàn)箱頻率時(shí)鐘的輸出口位置,改變LED燈顯示變化頻率。并且對(duì)照指導(dǎo)書(shū)上對(duì)實(shí)驗(yàn)箱自帶時(shí)鐘頻率的介紹,可以通過(guò)改變導(dǎo)線接口轉(zhuǎn)換輸入快慢,排查由于clk輸入管腳損壞而可能引起的故障。2一位半加器(1)參照指導(dǎo)書(shū)實(shí)例1進(jìn)行工程建立與命名。(2)圖形源文件編輯:由于實(shí)驗(yàn)箱上LED指示燈的顯示性質(zhì)為“高電平滅,低電平亮”,為實(shí)現(xiàn)預(yù)期顯示效果應(yīng)將原電路圖中兩個(gè)輸出管腳與非門(mén)串聯(lián)以實(shí)現(xiàn)原參考輸出“高
4、電平亮,低電平滅”。(3)參照指導(dǎo)書(shū)進(jìn)行波形仿真與管腳綁定等操作,鏈接實(shí)驗(yàn)箱并生成下載文件(4)將文件下載至實(shí)驗(yàn)箱運(yùn)行,觀察半加器工作現(xiàn)象,調(diào)試撥動(dòng)開(kāi)關(guān)查看進(jìn)位與置數(shù)指示是否正常工作。5、 實(shí)驗(yàn)現(xiàn)象1. 四位二進(jìn)制加法計(jì)數(shù)器SW1下?lián)転?時(shí),四個(gè)LED指示燈可以對(duì)輸入脈沖從”0000”到”1111”進(jìn)行十六位循環(huán)計(jì)數(shù)(其中亮為1,滅為0)。SW1上撥為1時(shí)可以實(shí)現(xiàn)四個(gè)LED燈保持全滅清零。且四位二進(jìn)制加法計(jì)數(shù)器功能成功實(shí)現(xiàn)2. 一位半加器 SW1與SW2輸入分別為”00”、”01”、”10”、”11”時(shí),進(jìn)位指示與置數(shù)指示燈分別顯示”00”、”01”、”01”、”10”(其中亮為1,滅為0)。
5、且一位半加器功能成功實(shí)現(xiàn)。6、 實(shí)驗(yàn)代碼1四位二進(jìn)制加法計(jì)數(shù)器(已修改) entity count4 is port( clk:in std_logic;-waishizhong,fpga_ex2_6 rst:in std_logic;-F1 pin-ab15 q:out std_logic_vector(3 downto 0);-led4-1 end; architecture b1 of count4 is signal q1:std_logic_vector(3 downto 0); begin process(clk,rst) begin if(rst=0)then if (clkev
6、ent and clk=1)then q1= q1-1; end if; else q1=1111; end if; end process; q=q1; end2一位半加器7、 結(jié)果分析1若在加載運(yùn)行文件后實(shí)驗(yàn)箱上無(wú)反應(yīng),可以通過(guò)更換不同頻率輸入管腳查看是否為實(shí)驗(yàn)箱自帶時(shí)鐘的故障。2實(shí)驗(yàn)箱上LED顯示燈與設(shè)計(jì)邏輯相反時(shí)可以通過(guò)修改程序邏輯或給電路圖添加非門(mén)實(shí)現(xiàn)正邏輯顯示。實(shí)驗(yàn)二 1616LED點(diǎn)陣四字循環(huán)顯示實(shí)驗(yàn)時(shí)間:2017.11.08(周三)晚 實(shí)驗(yàn)編號(hào)20一、實(shí)驗(yàn)?zāi)康?、掌握VHDL編程技巧和各種輸入輸出顯示方法。2、學(xué)習(xí)并分析指導(dǎo)書(shū)中實(shí)例,從中發(fā)現(xiàn)感興趣的題目,并以此自設(shè)計(jì)一個(gè)有內(nèi)容
7、,功能稍復(fù)雜的主、子程序綜合應(yīng)用例程,實(shí)現(xiàn)調(diào)試與驗(yàn)證。3、實(shí)現(xiàn)LED點(diǎn)陣“高山仰止”四字清晰循環(huán)顯示。二、實(shí)驗(yàn)原理.1 LED顯示原理1616掃描LED點(diǎn)陣的工作原理同8位掃描數(shù)碼管類似,其結(jié)構(gòu)示意圖(圖1)與等效電路圖(圖2)如下。它有16個(gè)共陰極輸出端口,每個(gè)共陰極對(duì)應(yīng)有16個(gè) LED顯示燈,所以其掃描譯碼地址需4位信號(hào)線(管腳對(duì)應(yīng)COL1-COL4),從右起為第一列且COL1-4對(duì)應(yīng)”0000”。其漢字掃描碼由16位段地址(從下至上管腳對(duì)應(yīng)ROW1-ROW16)輸入。本設(shè)計(jì)選用的LED列掃描,漢字信號(hào)行輸入的方式,顯示完整漢字。圖1 1616LED點(diǎn)陣 圖2 1616點(diǎn)陣LED等效電路列
8、循環(huán)掃描,通過(guò)對(duì)每一列的掃描來(lái)完成對(duì)字母的現(xiàn)實(shí),本設(shè)計(jì)為使列掃描符合視覺(jué)暫留要求,掃描頻率至少大于168=128Hz,周期小于7.8ms,以此給人以連續(xù)的感覺(jué)。2 漢字的信息儲(chǔ)存用動(dòng)態(tài)分時(shí)掃描技術(shù)使LED點(diǎn)陣模塊顯示圖像,需要進(jìn)行兩步工作。第一步是在程序中建立漢字?jǐn)?shù)據(jù)庫(kù)。第二步是在掃描模塊的控制下,配合列掃描的次序正確地輸出這些數(shù)據(jù)。獲得圖像數(shù)據(jù)的步驟是,先將要顯示的每一幅圖像畫(huà)在一個(gè)如(圖3)所示的被分成1616共256個(gè)小方格的矩形框中,再在有筆劃下落處的小方格里填上“1”,無(wú)筆劃處填上“0”,這樣就形成了與這個(gè)漢字所對(duì)應(yīng)的二進(jìn)制數(shù)據(jù)在該矩形框上的分布,漢字取??捎蓪S密浖M(jìn)行。圖3 16
9、*16點(diǎn)陣顯示模塊由于本實(shí)驗(yàn)箱為從右至左依次對(duì)應(yīng)”0000”列到”1111”列,從下至上為最高位到最低位,于平時(shí)習(xí)慣的認(rèn)字方向相反,所以注意在逐列掃描的設(shè)計(jì)中要對(duì)字取“反?!?。例如要在右邊起第2列的從上到下數(shù)2、4、6、8行亮,則列編號(hào)為”0001”、行輸入為”0000000010101010”就可以實(shí)現(xiàn)了。3延時(shí)環(huán)節(jié) 為使?jié)h字不斷地循環(huán)顯示,并且使每個(gè)漢子顯示后停留,就需要在中間加一定的延時(shí)和循環(huán)環(huán)節(jié)。在這一環(huán)節(jié)中,可以通過(guò)修改每個(gè)顯示和停留周期包含的總時(shí)鐘脈沖數(shù)值來(lái)控制每個(gè)字的顯示時(shí)間,運(yùn)用狀態(tài)機(jī)設(shè)計(jì)方法給四個(gè)漢字對(duì)應(yīng)的不同輸入狀態(tài)進(jìn)行編碼,即可使?jié)h字依次清晰顯示。三、實(shí)驗(yàn)設(shè)備1可編程邏輯
10、實(shí)驗(yàn)箱EP3C55F484C8 一臺(tái)(包含1616LED點(diǎn)陣一組等)2計(jì)算機(jī)及開(kāi)發(fā)軟件QuartusII 一臺(tái)套四、調(diào)試步驟1建立工程,命名為ledgrq1616。建立VHDL程序文件命名為1ed1616grq.vhd。2按照第六部分原程序輸入代碼,并按所示表格綁定管腳。編寫(xiě)程序及綁定管腳時(shí)時(shí)注意第二部分中所敘述的掃描顯示順序以及對(duì)應(yīng)取反字模的方法。3編譯無(wú)誤后開(kāi)啟實(shí)驗(yàn)箱,生成.sof傳輸文件并下載至實(shí)驗(yàn)箱,確保運(yùn)行模式為1,且CPRL_SW撥碼開(kāi)關(guān)為”00XX”。4觀察實(shí)驗(yàn)箱上現(xiàn)象,通過(guò)改接不同頻率的脈沖輸入管腳或改變每個(gè)字符停留周期包含的總脈沖數(shù)來(lái)保證有足夠快的掃描頻率與大約每個(gè)字大約一秒
11、多的充足停留時(shí)間,并查看是否有“高山仰止”四字依次有停頓的清晰的循環(huán)顯示。5、 實(shí)驗(yàn)現(xiàn)象當(dāng)時(shí)鐘輸入線接FRQH_Q2(3000000Hz)管腳時(shí),設(shè)定每個(gè)周期為5000000個(gè)脈沖時(shí),實(shí)現(xiàn)“高山仰止”在1616LED點(diǎn)陣上清晰循環(huán)顯示。六、實(shí)驗(yàn)代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity led1616grq is port(clk: in std_logic;-時(shí)鐘 data: out std_logic_vector(15 downto 0);-行輸入 addrs: out
12、 std_logic_vector(3 downto 0);-列地址end led1616grq;architecture chw_arc of led1616grq issignal fenpin:std_logic_vector(1 downto 0);-分頻信號(hào)signal ad:std_logic_vector(3 downto 0);-地址中轉(zhuǎn)信號(hào)begin process(clk)-產(chǎn)生一個(gè)大約1s的分頻信號(hào)模塊 variable cnt:integer:=0; variable tmp:std_logic_vector(1 downto 0); begin if clkevent
13、 and clk=1 then if cnt5000000 then cnt:=cnt+1; else cnt:=0; if tmp=11 then tmp:=00; else tmp:=tmp+1;end if; end if;end if;fenpin=tmp;end process;process(clk)-送16位地址程序variable js:integer:=0;variable cnt:std_logic_vector(3 downto 0); beginif clkevent and clk=1 thenif js10000 thenjs:=js+1;elsejs:=0;if
14、cnt=1111 thencnt:=0000;else cnt:=cnt+1;end if;end if;end if;ad case ad is -”高”的字模when 0000=datadatadatadatadatadatadatadatadatadatadatadatadatadatadatadatanull; end case; when 01= case ad is when 0000=datadatadatadatadatadatadatadatadatadatadatadatadatadatadatadatanull; end case; when 10= case ad is
15、when 0000=datadatadatadatadatadatadatadatadatadatadatadatadatadatadatadatanull;end case; when 11=case ad iswhen 0000=datadatadatadatadatadatadatadatadatadatadatadatadatadatadatadatanull;end case; when others=null; end case;end process;addrs=ad;end chw_arc;管腳綁定如下:七、結(jié)果分析設(shè)備調(diào)試與程序調(diào)試一樣均為FPGA目標(biāo)器件功能實(shí)現(xiàn)的必須工作,
16、根據(jù)加載后實(shí)際顯示情況,同樣可以反推出QuartusII無(wú)法直接報(bào)錯(cuò)的引腳綁定方面的問(wèn)題以及程序書(shū)寫(xiě)邏輯問(wèn)題,實(shí)驗(yàn)中具體出現(xiàn)的情況如下:(1) 顯示為一團(tuán)不斷閃爍的重疊形狀原因:可能為間隔時(shí)間太短措施:應(yīng)增大間隔周期數(shù),延長(zhǎng)停頓(2) 字符形狀與設(shè)計(jì)不同/錯(cuò)位原因:如果為行順序錯(cuò)位或亂序,但每一列仍為從右至左掃描,可能為ROW1-15管腳綁定錯(cuò)位:如果為列出現(xiàn)順序及顯示正確但位置錯(cuò)位,可能為CLK1-3管腳綁定錯(cuò)位;上述兩種情況也可同時(shí)發(fā)生。措施:將時(shí)鐘輸入頻率放緩至可以看清每一列出現(xiàn)的順序位置及顯示內(nèi)容,與所設(shè)計(jì)的字模對(duì)照,判斷是哪幾個(gè)ROW管腳及CLK錯(cuò)位,重新綁定即可。(3)實(shí)驗(yàn)箱開(kāi)啟后
17、毫無(wú)顯示原因:可能是工作模式的撥碼開(kāi)關(guān)并非”00XX”,或時(shí)鐘管腳故障。措施:選用其他頻率的管腳試接;若排除管腳問(wèn)題,查看模式顯示數(shù)碼管數(shù)值是否為1,如不是,改變工作模式,重新加載文件。實(shí)驗(yàn)三 蜂鳴器/揚(yáng)聲器電子音樂(lè)演奏實(shí)驗(yàn)時(shí)間:2017.11.15(周三)晚 實(shí)驗(yàn)編號(hào)20一、實(shí)驗(yàn)?zāi)康?、熟練掌握QuartusII平臺(tái)各模塊操作及實(shí)驗(yàn)箱調(diào)試方法。2、用元件例化語(yǔ)句調(diào)用方式,任意自選題設(shè)計(jì)一個(gè)有內(nèi)容,功能較復(fù)雜的主、子程序綜合應(yīng)用的多模塊集成例程,并實(shí)現(xiàn)調(diào)試與驗(yàn)證。3、實(shí)現(xiàn)電子音樂(lè)天空之城的主旋律循環(huán)播放,并通過(guò)LED燈指示音符。二、實(shí)驗(yàn)原理.1、電子音符演奏樂(lè)曲硬件電路產(chǎn)生音樂(lè)是和音樂(lè)頻率和音
18、樂(lè)的持續(xù)時(shí)間有關(guān);音符的持續(xù)時(shí)間需根據(jù)樂(lè)曲的速度和每個(gè)音符的節(jié)拍數(shù)來(lái)確定。設(shè)計(jì)所用簡(jiǎn)譜及音符和頻率的關(guān)系如下:2、演奏節(jié)拍控制該演奏電路演奏的樂(lè)曲是天空之城,其最小的節(jié)拍為1拍,將1拍的時(shí)長(zhǎng)定位0.25S,則只需要再提供一個(gè)4Hz的時(shí)鐘頻率即可產(chǎn)生1拍的時(shí)長(zhǎng)(5Hz由24MHz的基準(zhǔn)頻率分頻產(chǎn)生),對(duì)于占用時(shí)間較長(zhǎng)的節(jié)拍,(一定是節(jié)拍的整數(shù)倍),如全音符為4拍,2/4音符為2拍,1/4音符為1拍。3、演奏電路模塊樂(lè)曲硬件演奏電路系統(tǒng)主要有音調(diào)分頻器和樂(lè)曲存儲(chǔ)模塊兩個(gè)部分組成,其余還有音樂(lè)節(jié)拍發(fā)生器等等。音調(diào)分頻器對(duì)24MHz(由基準(zhǔn)頻率產(chǎn)生)的頻率進(jìn)行分頻,得到與各個(gè)音節(jié)對(duì)應(yīng)的頻率輸出。樂(lè)曲存
19、儲(chǔ)模塊產(chǎn)生節(jié)拍控制和音階選擇信號(hào),即在此模塊中寫(xiě)入一個(gè)樂(lè)曲曲譜真值序列,由一個(gè)計(jì)數(shù)器來(lái)控制此序列的輸出,而由計(jì)數(shù)器的計(jì)數(shù)時(shí)鐘信號(hào)作為樂(lè)曲節(jié)拍控制信號(hào)。4程序設(shè)計(jì)思路 實(shí)驗(yàn)中采用層次化設(shè)計(jì)思路,音樂(lè)發(fā)生器的設(shè)計(jì)包括四個(gè)模塊:時(shí)鐘分頻模塊、自動(dòng)演奏模塊、音符顯示模塊、音調(diào)分頻模塊。分好層次之后,編寫(xiě)每個(gè)模塊的程序。時(shí)鐘分頻模塊通過(guò)基準(zhǔn)時(shí)鐘頻率clk(24MHz)產(chǎn)生兩個(gè)時(shí)鐘信號(hào)。自動(dòng)演奏模塊接收4hz的時(shí)鐘信號(hào),輸出音調(diào)代碼。顯示模塊利用音調(diào)代碼查找并輸出對(duì)應(yīng)LED燈顯示情況。同時(shí)將音調(diào)對(duì)應(yīng)的給8盞LED指示燈,分別顯示高中低音符。音調(diào)分頻模塊接收音調(diào)代碼對(duì)應(yīng)的分頻系數(shù) ,并據(jù)此分頻,將對(duì)應(yīng)頻率的
20、信號(hào)輸出給揚(yáng)聲器供其發(fā)聲。三、實(shí)驗(yàn)設(shè)備1可編程邏輯實(shí)驗(yàn)箱EP3C55F484C8 一臺(tái)(含蜂鳴器、揚(yáng)聲器、若干LED燈等)2計(jì)算機(jī)及開(kāi)發(fā)軟件QuartusII 一臺(tái)套四、調(diào)試步驟1建立工程,命名為grqbeep01。建立VerilogHDL程序文件命名為grqbeep01.v。2按照第六部分原程序輸入代碼,并按所示表格綁定管腳。3編譯無(wú)誤后開(kāi)啟實(shí)驗(yàn)箱,將時(shí)鐘輸入管腳P20接Q0(24000000Hz)。4生成.sof傳輸文件并下載至實(shí)驗(yàn)箱,確保運(yùn)行模式為3,且CPRL_SW撥碼開(kāi)關(guān)為”0110”。5觀察實(shí)驗(yàn)箱上現(xiàn)象,確定蜂鳴器與揚(yáng)聲器的器件使用設(shè)定方法,對(duì)比其效果差異。五、實(shí)驗(yàn)現(xiàn)象1跳線BZ1
21、未調(diào)整時(shí)下載完畢,聽(tīng)到完整的由蜂鳴器播放的循環(huán)天空之城音樂(lè),可是聲音很小,LED指示燈顯示沒(méi)有錯(cuò)誤。2跳線BZ1由23調(diào)整到12時(shí)下載測(cè)試,聽(tīng)到聲音洪亮的循環(huán)播放的天空之城音樂(lè),并且音調(diào)很好,數(shù)碼管顯示音符正常,實(shí)現(xiàn)預(yù)期功能。六、實(shí)驗(yàn)代碼timescale 1ns / 1psmodule grqbeep01(clk,beep,led); input clk;/時(shí)鐘管腳output beep;/蜂鳴器管腳output 7:0led;/led指示管腳reg beep;reg 22:0i;reg clk_4hz;reg 7:0led; reg 16:0count,div_num;reg 6:0mus
22、ic;/以下為主體 always(posedge clk)/4hz生成部分 begin if(i=23h47868c) begin i=0; clk_4hz=clk_4hz;endelse i=i+1b1;end always(posedge clk_4hz) begin if(music=7d122)/總共的音符節(jié)拍數(shù)music=0;else music=music+1b1; endalways(posedge clk) begin if(count=div_num) begin count=0;beep=beep;endelse count=count+1b1; endparameter/
23、輸入查表可得的低中高音符赫茲數(shù)L0=17h00000,L1=17h1754e, L2=17h14c81,L3=17h1284a,L4=17h117A8,L5=17h14e70,L6=17h0ddf2,L7=17h0c5ba,M1=17h0ba9e,M2=17h0a648,M3=17h0941f,M4=17h08bcf,M5=17h07c90,M6=17h06ef9,M7=17h062dd,H1=17h05d68,H2=17h05322,H3=17h04a11,H4=17h045e9,H5=17h3e48,H6=17h377d,H7=17h316f;always(posedge clk_4hz)
24、 begin case(music)/樂(lè)譜輸入7d0:div_num=M6;7d1:div_num=M7;7d2:div_num=H1;7d3:div_num=H1;7d4:div_num=H1;7d5:div_num=M7;7d6:div_num=H1;7d7:div_num=H1;7d8:div_num=H3;7d9:div_num=H3;7d10:div_num=M7;7d11:div_num=M7;7d12:div_num=M7;7d13:div_num=M7;7d14:div_num=M7;7d15:div_num=M7;7d16:div_num=M3;7d17:div_num=M3
25、;7d18:div_num=M6;7d19:div_num=M6;7d20:div_num=M6;7d21:div_num=M5;7d22:div_num=M6;7d23:div_num=M6;7d24:div_num=H1;7d25:div_num=H1;7d26:div_num=M5;7d27:div_num=M5;7d28:div_num=M5;7d29:div_num=M5;7d30:div_num=M5;7d31:div_num=M5;7d32:div_num=M2;7d33:div_num=M3;7d34:div_num=M4;7d35:div_num=M4;7d36:div_nu
26、m=M4;7d37:div_num=M3;7d38:div_num=M4;7d39:div_num=M4;7d40:div_num=H1;7d41:div_num=H1;7d42:div_num=M3;7d43:div_num=M3;7d44:div_num=M3;7d45:div_num=M3;7d46:div_num=H1;7d47:div_num=H1;7d48:div_num=M7;7d49:div_num=M7;7d50:div_num=M7;7d51:div_num=M3;7d52:div_num=M4;7d53:div_num=H1;7d54:div_num=H1;7d55:di
27、v_num=M7;7d56:div_num=M7;7d57:div_num=M7;7d58:div_num=M7;7d59:div_num=M7;7d60:div_num=M7;/第二段樂(lè)譜7d61:div_num=M6;7d62:div_num=M7;7d63:div_num=H1;7d64:div_num=H1;7d65:div_num=H1;7d66:div_num=M7;7d67:div_num=H1;7d68:div_num=H1;7d69:div_num=H3;7d70:div_num=H3;7d71:div_num=M7;7d72:div_num=M7;7d73:div_num=
28、M7;7d74:div_num=M7;7d75:div_num=M7;7d76:div_num=M7;7d77:div_num=M3;7d78:div_num=M4;7d79:div_num=M6;7d80:div_num=M6;7d81:div_num=M6;7d82:div_num=M5;7d83:div_num=M6;7d84:div_num=M6;7d85:div_num=H1;7d86:div_num=H1;7d87:div_num=M5;7d88:div_num=M5;7d89:div_num=M5;7d90:div_num=M5;7d91:div_num=M5;7d92:div_
29、num=M5;7d93:div_num=M2;7d94:div_num=M3;7d95:div_num=M4;7d96:div_num=M4;7d97:div_num=H1;7d98:div_num=H1;7d99:div_num=H1;7d100:div_num=M7;7d101:div_num=H1;7d102:div_num=H2;7d103:div_num=H2;7d104:div_num=H3;7d105:div_num=H3;7d106:div_num=H1;7d107:div_num=H1;7d108:div_num=H1;7d109:div_num=M7;7d110:div_n
30、um=M6;7d111:div_num=M6;7d112:div_num=M7;7d113:div_num=M7;7d114:div_num=M5;7d115:div_num=M5;7d116:div_num=M6;7d117:div_num=M6;7d118:div_num=M6;7d119:div_num=M6;7d120:div_num=M6;7d121:div_num=M6; endcase end always(div_num)/定義每一種音符的LED指示方案 begin case(div_num) L5,H5:led=8b1111_1111;L6,H6:led=8b0111_1111;L7,M1:led=8b0011_1111;M2,M3:led=8b00
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五年度民辦學(xué)校圖書(shū)資源采購(gòu)與借閱服務(wù)合同范本3篇
- 二零二五年度無(wú)線通信塔架建設(shè)施工合同
- 2025年臍橙果肥國(guó)際市場(chǎng)拓展合作合同4篇
- 2025年度二手房買(mǎi)賣(mài)合同稅務(wù)籌劃范本
- 二零二五年度土地承包經(jīng)營(yíng)權(quán)租賃管理服務(wù)合同
- 二零二五年度文化藝術(shù)交流活動(dòng)組織合同
- 二零二五年度天然青貯飼料原料采購(gòu)與倉(cāng)儲(chǔ)管理合同
- 二零二五年度品牌代理授權(quán)合同(含保密條款)
- 二零二五年度水塘生態(tài)環(huán)境保護(hù)與修復(fù)工程合同
- 二零二五版鋁單板裝飾材料采購(gòu)合同4篇
- 2024年社區(qū)警務(wù)規(guī)范考試題庫(kù)
- 2024年食用牛脂項(xiàng)目可行性研究報(bào)告
- 2024年全國(guó)各地中考試題分類匯編(一):現(xiàn)代文閱讀含答案
- 2024-2030年中國(guó)戶外音箱行業(yè)市場(chǎng)發(fā)展趨勢(shì)與前景展望戰(zhàn)略分析報(bào)告
- GB/T 30306-2024家用和類似用途飲用水處理濾芯
- 家務(wù)分工與責(zé)任保證書(shū)
- 消防安全隱患等級(jí)
- 溫室氣體(二氧化碳和甲烷)走航監(jiān)測(cè)技術(shù)規(guī)范
- 華為員工股權(quán)激勵(lì)方案
- 部編版一年級(jí)語(yǔ)文下冊(cè)第一單元大單元教學(xué)設(shè)計(jì)
- 《保單檢視專題》課件
評(píng)論
0/150
提交評(píng)論