




版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、第7章 集成觸發(fā)器 2本章目標22022-4-5賴祖亮賴祖亮 小木蟲小木蟲掌握與非門結構基本觸發(fā)器的電路組成、邏輯功能和工作特點了解同步觸發(fā)器的結構、工作特點和存在問題重點掌握邊沿觸發(fā)器、邊沿觸發(fā)器的邏輯功能及其特性方程了解邊沿觸發(fā)器的VHDL語言描述引言引言基本基本RS觸發(fā)器觸發(fā)器同步觸發(fā)器同步觸發(fā)器 邊沿觸發(fā)器邊沿觸發(fā)器本章小結本章小結內(nèi)容提要 觸發(fā)器觸發(fā)器的基本特性和作用的基本特性和作用 Flip - Flop,簡寫為,簡寫為 FF,又稱雙穩(wěn)態(tài)觸發(fā)器。,又稱雙穩(wěn)態(tài)觸發(fā)器。一個觸發(fā)器可存儲一個觸發(fā)器可存儲 1 位二進制數(shù)碼位二進制數(shù)碼7.1 基本觸發(fā)器基本觸發(fā)器基本特性(1)具有兩個穩(wěn)定狀
2、態(tài),可分別用來表示二進制數(shù)的0和1;(2)在外信號作用下,兩個穩(wěn)定狀態(tài)可相互轉換,外信號消失后,已轉換的狀態(tài)可長期保留,因此,觸發(fā)器可用來長期保存二進制信息。(3)狀態(tài)轉換時刻和方向同受輸入信號、控制,為異步時序電路。觸發(fā)器的作用觸發(fā)器的作用觸發(fā)器有記憶功能,觸發(fā)器有記憶功能,由它構成的電路在某時刻的輸由它構成的電路在某時刻的輸出不僅取決于該時刻的輸入,還與電路原來狀態(tài)有關出不僅取決于該時刻的輸入,還與電路原來狀態(tài)有關。而門電路無記憶功能,由它構成的電路在某時刻的輸出而門電路無記憶功能,由它構成的電路在某時刻的輸出完全取決于該時刻的輸入,與電路原來狀態(tài)無關;完全取決于該時刻的輸入,與電路原來狀
3、態(tài)無關;觸發(fā)器和門電路觸發(fā)器和門電路是構成數(shù)字電路的基本是構成數(shù)字電路的基本 單元。單元。觸發(fā)器的類型觸發(fā)器的類型 根據(jù)邏輯功能不同分為根據(jù)邏輯功能不同分為 RS 觸發(fā)器觸發(fā)器 D 觸發(fā)器觸發(fā)器 JK 觸發(fā)器觸發(fā)器 T 觸發(fā)器觸發(fā)器 T 觸發(fā)器觸發(fā)器 根據(jù)觸發(fā)方式不同分為根據(jù)觸發(fā)方式不同分為 電平觸發(fā)器電平觸發(fā)器 邊沿觸發(fā)器邊沿觸發(fā)器 主從觸發(fā)器主從觸發(fā)器 根據(jù)電路結構不同分為根據(jù)電路結構不同分為 基本基本 RS 觸發(fā)器觸發(fā)器 同步觸發(fā)器同步觸發(fā)器 主從觸發(fā)器主從觸發(fā)器 邊沿觸發(fā)器邊沿觸發(fā)器 由與非門組成的基本由與非門組成的基本 RS 觸發(fā)器觸發(fā)器 電路結構及邏輯符號電路結構及邏輯符號置置1端
4、,也稱端,也稱置 位 端 。置 位 端 。 S 即即 Set 置置0端,也稱端,也稱復 位 端 。復 位 端 。 R 即即 Reset Q Q = 1= 1, = 0 = 0 時,稱為觸發(fā)器的時,稱為觸發(fā)器的 1 1 狀態(tài),記為狀態(tài),記為 Q Q = 1= 1;Q Q = 0= 0, = 1 = 1 時,稱為觸發(fā)器的時,稱為觸發(fā)器的 0 0 狀態(tài),記為狀態(tài),記為 Q Q = 0= 0。 QQ111011000SDRD 功功 能能 說說 明明輸輸 入入1QSQ DQQ 1QRQ DQQ 11不不 變變觸發(fā)器保持原狀態(tài)不變觸發(fā)器保持原狀態(tài)不變2 工作原理及邏輯功能工作原理及邏輯功能 工作原理及邏輯
5、功能工作原理及邏輯功能 0111 1 觸發(fā)器置觸發(fā)器置 010011011000SDRD 功功 能能 說說 明明輸輸 入入QQ輸輸 出出2 工作原理及邏輯功能工作原理及邏輯功能 0111011000SDRD 功功 能能 說說 明明輸輸 入入QQ輸輸 出出11100觸發(fā)器置觸發(fā)器置 12 工作原理及邏輯功能工作原理及邏輯功能 0111011000SDRD 功功 能能 說說 明明輸輸 入入QQ輸輸 出出01不不定定輸出狀態(tài)不確定輸出狀態(tài)不確定11輸出既非輸出既非 0 狀態(tài),狀態(tài),也非也非 1 狀態(tài)。當狀態(tài)。當 RD 和和 SD 同時由同時由 0 變變 1 時,時,輸出狀態(tài)可能為輸出狀態(tài)可能為 0,
6、也,也可能為可能為 1,即輸出狀態(tài),即輸出狀態(tài)不定。因此,這種情況不定。因此,這種情況禁用。禁用。2 特性表特性表描述描述 基本觸發(fā)器描述方法 現(xiàn)態(tài)觸發(fā)器接收輸入信號之前的穩(wěn)定狀態(tài) 次態(tài)觸發(fā)器接收輸入信號之后所處的新的穩(wěn)定狀態(tài) 指觸發(fā)器次態(tài)與輸入信號和電路原有指觸發(fā)器次態(tài)與輸入信號和電路原有狀態(tài)(現(xiàn)態(tài))之間關系的真值表。狀態(tài)(現(xiàn)態(tài))之間關系的真值表。 1與非門組成的基本與非門組成的基本 RS 觸發(fā)器特性表觸發(fā)器特性表 置置 0 端端 RD 和置和置 1 端端 SD 低電平有效低電平有效禁用禁用 RD = SD = 0。注意 邏輯功能的邏輯功能的特性方程、狀態(tài)圖特性方程、狀態(tài)圖描述描述 狀態(tài)轉換
7、圖狀態(tài)轉換圖 特性方程特性方程由特性表列出基本由特性表列出基本RS觸發(fā)器的卡諾圖觸發(fā)器的卡諾圖11nnddddQSR QSR。約束條件2波形分析舉例解:解: 例例 設下圖中觸發(fā)器初始狀態(tài)為設下圖中觸發(fā)器初始狀態(tài)為 0,試對應輸入波形,試對應輸入波形 畫出畫出 Q 和和 Q 的波形。的波形。QQSDRDSRSDRD保持保持初態(tài)為初態(tài)為 0,故保持為,故保持為 0。置置 0 保持保持QQ置置 1基本RS觸發(fā)器組成的3人搶答電路 K為復位鍵,KA、 KB、 KC為三人搶答按鈕工作原理開始搶答前,先按一下復位鍵K,即3個觸發(fā)器的R信號都為0,使 QA、QB、 QC 均置0,三個發(fā)光二極管均不亮 。開始
8、搶答后,如 第一個被按下,則 的 使QA 置1,門的輸出變?yōu)?, 點亮發(fā)光二極管DA,同時UoA的0信號封鎖了GB、GC門,KB、KC即使再按下也無效。 然后松開KA,此時FA的 觸發(fā)器保持原狀態(tài),直到裁判重新按下鍵,新一輪搶答開始。AKAF0S 0oAU1SR 基本基本 RS 觸發(fā)器的優(yōu)缺點觸發(fā)器的優(yōu)缺點 優(yōu)點優(yōu)點缺點缺點電路簡單,是構成各種觸發(fā)器的基礎。電路簡單,是構成各種觸發(fā)器的基礎。 1. 輸出受輸入信號直接控制,不能定時控制。輸出受輸入信號直接控制,不能定時控制。 2. 有約束條件。有約束條件。 7.2 同步觸發(fā)器Synchronous Flip - Flop 實際工作中,實際工作中
9、,常要求某些觸發(fā)器在同一時刻動作。常要求某些觸發(fā)器在同一時刻動作。為此,需要增加一個為此,需要增加一個時鐘控制端時鐘控制端 CP。 具有時鐘脈沖控制的觸發(fā)器稱為具有時鐘脈沖控制的觸發(fā)器稱為時鐘觸發(fā)器時鐘觸發(fā)器,又稱鐘控觸發(fā)器。又稱鐘控觸發(fā)器。 同步觸發(fā)器是其中最簡單的一種,而同步觸發(fā)器是其中最簡單的一種,而基本基本 RS 觸發(fā)器稱異步觸發(fā)器觸發(fā)器稱異步觸發(fā)器。 ( (一一) )同步同步 RS RS 觸發(fā)器觸發(fā)器 同步同步 RS 觸發(fā)器觸發(fā)器 工作原理工作原理 CP = 0 時,時,G3、G4被封鎖,輸入信號被封鎖,輸入信號 R、S不起作用?;静黄鹱饔??;?RS 觸發(fā)觸發(fā)器的輸入均為器的輸入
10、均為 1,觸發(fā)器,觸發(fā)器狀態(tài)保持不變。狀態(tài)保持不變。 CP = 1 時,時,G3、G4解除封鎖,將輸入信號解除封鎖,將輸入信號 R 和和 S 取非后送至基本取非后送至基本 RS 觸發(fā)器的輸入端。觸發(fā)器的輸入端。 電路結構電路結構與邏輯符號與邏輯符號(a)電路圖 (b)邏輯符號1RS功能功能 特性表與邏輯功能特性表與邏輯功能當CP=0時,輸入信號RS對觸發(fā)器狀態(tài)無影響 當CP=1時,觸發(fā)器具有置1置0和保持原狀態(tài)不變的功能 R=R=1時,觸發(fā)器輸出狀態(tài)不定 特性表特性表2 同步同步 RS 觸發(fā)器的特性方程觸發(fā)器的特性方程 000010101010101101011000111101Qn+1QnS
11、R特特性性表表同步同步RS觸發(fā)器觸發(fā)器Qn+1的卡諾圖的卡諾圖RSQn0100 0111 10 1 1 1 特性方程特性方程nnQRSQ 1RS = 0( (約束條件約束條件) )RS 觸發(fā)器功能也可用特性表與觸發(fā)器功能也可用特性表與特性方程來描述。特性方程來描述。特性方程特性方程指觸發(fā)器次態(tài)與輸入信號和電路原有指觸發(fā)器次態(tài)與輸入信號和電路原有狀態(tài)之間的邏輯關系式。狀態(tài)之間的邏輯關系式。 3 同步同步RS觸發(fā)器的工作波形觸發(fā)器的工作波形 4同步同步 D 觸發(fā)器觸發(fā)器電路結構、邏輯符號和邏輯功能電路結構、邏輯符號和邏輯功能 同步同步 D 觸發(fā)器功能表觸發(fā)器功能表 CPDQn+1說明說明10101
12、置置0置置10Qn不變不變稱為稱為 D 功能功能特點:特點:Qn+1 跟隨跟隨 D 信號信號1 D 觸發(fā)器的觸發(fā)器的特性表、特性方程和特性表、特性方程和狀態(tài)轉換圖狀態(tài)轉換圖 01D=1D=0D=0D=1D觸發(fā)器特性表觸發(fā)器特性表2特性方程特性方程無約束無約束狀態(tài)轉換圖狀態(tài)轉換圖 Qn+1 = D同步同步 JK 觸發(fā)器觸發(fā)器 克服同步克服同步RS觸發(fā)器在觸發(fā)器在R=S=1時出現(xiàn)不定態(tài)的另一種方法是時出現(xiàn)不定態(tài)的另一種方法是將觸發(fā)器輸出端將觸發(fā)器輸出端Q和和 輸出的互補狀態(tài)反饋到輸入端,這樣輸出的互補狀態(tài)反饋到輸入端,這樣G3、G4不會同時輸出不會同時輸出0,避免了不定態(tài)的出現(xiàn),電路結構如圖所示,
13、避免了不定態(tài)的出現(xiàn),電路結構如圖所示這樣構成了一個雙輸入的觸發(fā)器,這樣構成了一個雙輸入的觸發(fā)器,J和和K為信號輸入端。為信號輸入端。邏輯圖邏輯圖邏輯符號邏輯符號Q 當當CP=0時,時,G3、G4封鎖,觸發(fā)器保持封鎖,觸發(fā)器保持原狀態(tài)不變;原狀態(tài)不變; 當當CP=1時,時,G3、G4解除封鎖,輸入解除封鎖,輸入J、K端的信號可控制觸發(fā)器的狀態(tài)。端的信號可控制觸發(fā)器的狀態(tài)。將將 代入基本代入基本RS觸發(fā)器的特性方程,可得到同步觸發(fā)器的特性方程:觸發(fā)器的特性方程,可得到同步觸發(fā)器的特性方程:ndSJQndRKQ1nnddnnnnnQSR QJQK Q QJQK Q 工作原理1 同步JK觸發(fā)器特性表
14、在CP時鐘脈沖控制下,根據(jù)輸入信號J、K情況的不同,觸發(fā)器具有置0、置1、保持和翻轉功能。 2011JK1JK0JK0JK特性方程特性方程nnnQKQJQ 13邏輯功能的特性方程,狀態(tài)轉換圖、波形圖狀態(tài)轉換圖狀態(tài)轉換圖 波形圖波形圖同步同步T觸發(fā)器觸發(fā)器 將同步JK觸發(fā)器電路中的J和K連在一起改作T,作為輸入信號便構成同步T觸發(fā)器。將T替代JK觸發(fā)器特性方程中的J和K便得到T觸發(fā)器的特性方程 同步觸發(fā)器特點:當T=1 時,觸發(fā)器在時鐘作用下,每來一個時鐘信號它的狀態(tài)就翻轉一次;而當T=0時,觸發(fā)器的狀態(tài)保持不變。 T觸發(fā)器觸發(fā)器 如果在T觸發(fā)器中令T=1,那么每輸入一個CP脈沖,觸發(fā)器狀態(tài)翻轉
15、一次,這種觸發(fā)器稱T觸發(fā)器,其特征方程 1nnnQTQTQ1nnQQ邏輯符號同步觸發(fā)器的特點同步觸發(fā)器的特點 同步觸發(fā)器的同步觸發(fā)器的觸發(fā)方式觸發(fā)方式為為電平觸發(fā)式電平觸發(fā)式 同步觸發(fā)器的共同缺點是存在同步觸發(fā)器的共同缺點是存在空翻空翻 觸發(fā)脈沖作用期間,輸入信號發(fā)生多次變化時,觸觸發(fā)脈沖作用期間,輸入信號發(fā)生多次變化時,觸發(fā)器輸出狀態(tài)也相應發(fā)生多次變化的現(xiàn)象稱為空翻。發(fā)器輸出狀態(tài)也相應發(fā)生多次變化的現(xiàn)象稱為空翻。 空翻可導致電路工作失控??辗蓪е码娐饭ぷ魇Э?。指時鐘脈沖信號控制指時鐘脈沖信號控制觸發(fā)器工作的方式觸發(fā)器工作的方式 CP = 1 期間翻轉的稱正電平觸發(fā)式;期間翻轉的稱正電平觸發(fā)
16、式; CP = 0 期間翻轉的稱負電平觸發(fā)式。期間翻轉的稱負電平觸發(fā)式。 7.3 邊沿觸發(fā)器邊沿JK觸發(fā)器電路、符號1邊沿 JK 觸發(fā)器工作原理分析DRDR當 =0、 =1時,門A、B均輸出0, ,門G4輸出為1,因此門C輸出為1, 實現(xiàn)異步置0。當 =1、 =0 時,門C、D均輸出0, 門G3輸出為1,因此門B輸出為1, ,實現(xiàn)異步置1。1Q 0QDSDSDR1Q 0Q 1DR 1DS 10nQ11nQ在 、 條件下 當CP=0,與非門 G3、G4封鎖,不接收J、K信號輸入,其輸出為1,與門A、D被封鎖,使觸發(fā)器的狀態(tài)保持不變。如觸發(fā)器原狀態(tài)為Q=0、/Q=1,則與門C輸入全1,輸出 ,與門
17、A和B輸入都有0,輸出2當 CP =1 時,與非門G3、G4打開,接收 J、K信號輸入 觸發(fā)器的輸出仍保持不變 1nnnnnnDDQQCP SQ S SQQSQ 1nnnnnnDDQQCP RQR RQQRQ 邊沿 JK 觸發(fā)器工作原理分析2 邊沿 JK 觸發(fā)器工作原理分析0nnnnQQQRQR nSJQnRKQ10nnnnnnDDQQCP SQ S SQQ SQS 1nnQQRS1nnnnnnQQKQJQJQKQ 在CP 由1到0時,CP信號是直接加到與門 A、D輸入端,但G3 、G4的輸出 S和R需要經(jīng)過一個與非門延遲才能變?yōu)?。設 為G2在這一瞬間的輸出,則 S 、R 在沒有變?yōu)?以前,
18、維持CP下降前的值 Q式1代入式1得將R、S代入上式,得顯然,這是JK 觸發(fā)器的特征方程。 由以上分析可知,在CP=0及 CP =1期間,觸發(fā)器狀態(tài)均維持不變,只有時鐘下降沿到達時刻的J、K值才能對觸發(fā)器起作用,并引起翻轉,實現(xiàn)了邊沿觸發(fā)JK觸發(fā)器的功能。2CP 觸發(fā)的邊沿觸發(fā)的邊沿 JK 觸發(fā)器觸發(fā)器 具有異步端的具有異步端的邊沿邊沿 JK 觸發(fā)器觸發(fā)器 QQ1JJ CP1KKRSC1RDSDQQ1JJ CP1KKRSC1RDSD異步端低電平有效異步端低電平有效異步端高電平有效異步端高電平有效RRDRRDSSDSSD異步端低電平有效邊沿JK觸發(fā)器功能表CP 出發(fā)的邊沿出發(fā)的邊沿 JK 觸發(fā)器
19、觸發(fā)器 邊沿D觸發(fā)器 電路結構、邏輯符號 維持維持-阻塞阻塞D觸發(fā)器的邏輯功能與同步觸發(fā)器的邏輯功能與同步D觸發(fā)器的觸發(fā)器的功能相同,因此,特性表和真值表也相同。區(qū)別在功能相同,因此,特性表和真值表也相同。區(qū)別在于維持于維持-阻塞阻塞D觸發(fā)器只有在觸發(fā)器只有在CP上升沿到達時刻才有上升沿到達時刻才有效效1CP 觸發(fā)的邊沿觸發(fā)的邊沿 D 觸發(fā)器觸發(fā)器 執(zhí)行執(zhí)行 Qn+1 = D1111在在 CP 時刻時刻0011Qn111保持不變保持不變Qn011禁禁 用用不定態(tài)不定態(tài)00異步置異步置 1101異步置異步置 0010說說 明明Qn+1DCPSDRD異步端低電平有效的異步端低電平有效的上升沿觸發(fā)式
20、上升沿觸發(fā)式 D 觸發(fā)器功能表觸發(fā)器功能表具有異步端邊具有異步端邊沿沿 D 觸發(fā)器觸發(fā)器 2邊沿邊沿 D 觸發(fā)器邏輯符號、功能表觸發(fā)器邏輯符號、功能表( (1) ) 弄清弄清時鐘觸發(fā)沿時鐘觸發(fā)沿是上升沿還是下降沿?是上升沿還是下降沿?( (2) )弄清有無異步輸入端?弄清有無異步輸入端?異步置異步置 0 端和異步端和異步置置 1 端端是低電平有效還是高電平有效?是低電平有效還是高電平有效?( (4) ) 邊沿觸發(fā)器的邏輯功能和特性方程與同步邊沿觸發(fā)器的邏輯功能和特性方程與同步觸發(fā)器的相同,但由于觸發(fā)方式不一樣,觸發(fā)器的相同,但由于觸發(fā)方式不一樣,因此,它們的邏輯功能和特性方程成立的因此,它們的
21、邏輯功能和特性方程成立的時間不同。時間不同。邊沿觸發(fā)器的邏輯功能和特性邊沿觸發(fā)器的邏輯功能和特性方程只在時鐘的上升沿方程只在時鐘的上升沿( (或下降沿或下降沿) )成立。成立。( (3) ) 異步端不受時鐘異步端不受時鐘 CP 控制控制,將直接實現(xiàn)置,將直接實現(xiàn)置 0 或置或置 1。觸發(fā)器工作時,應保證異步端接非。觸發(fā)器工作時,應保證異步端接非有效電平。有效電平。注意7.4觸發(fā)器邏輯功能的轉換 公式法轉換公式法轉換 分別寫出轉換前后觸發(fā)器的特性方程 比較兩個觸發(fā)器的特性方程,求出轉換電路的邏輯表達式 畫出邏輯電路圖1. JK D2. JK T因此,令因此,令J = K =D 已有已有Qn+1
22、= JQn+ KQn欲得欲得 Qn+1 = D13. D JK已有已有 Qn+1 = D欲得欲得Qn+1 = JQn + KQn因此,令因此,令nnQKQJ nnQKQJD 4. D T已有已有 Qn+1 = D 欲得欲得Qn+1 =nQT nQT 因此,令因此,令D =圖表法轉換圖表法轉換1根據(jù)期待觸發(fā)器的特性表和已有觸發(fā)器的驅動表列出轉換電路的真值表根據(jù)真值表求出轉換電路的邏輯表達式(3) 畫出邏輯電路圖 T-JK觸發(fā)器功能轉換表( ,)T J K QJKQJKQJKQJKQJQKQJQ KQ由表可得T轉換為JK的邏輯圖單脈沖發(fā)生器電路單脈沖發(fā)生器電路 電路圖波形圖工作原理當電源接通后,由
23、于FF1觸發(fā)器的 端為0,所以觸發(fā)器輸出 =1。此時FF0狀態(tài)不確定。經(jīng)過一個時鐘脈沖作用后,由于FF0控制輸入端 則當用手按下按鈕開關, FF0的狀態(tài)翻轉為1,又因 所以觸發(fā)器FF1也處于翻轉狀態(tài)。故按鈕按下后第一個時鐘上升沿 Q0由0變1,第二個時鐘脈沖上升沿Q0由1變0,產(chǎn)生一個負邊沿引起FF1觸發(fā)器的翻轉, 由1變0 .又由于 與FF0觸發(fā)器的異步置零端相連,因此FF0觸發(fā)器置0。經(jīng)過上述過程,一個單脈沖便可產(chǎn)生。這個單脈沖由觸發(fā)器FF0的Q0端輸出,它是一個正脈沖。 R1Q00J10K00Q11R111 KJ1Q100 KJ1Q四位流水燈電 路 工作原理其中FF0和FF1構成四進制計
24、數(shù)器,輸出端 作為二四線譯碼器(74LS139)的地址信號,譯碼輸出信號依次點亮4個發(fā)光二極管。0Q1Q電路圖及波形7.5 邊沿JK觸發(fā)器的HDL描述library ieee;use ieee.std_logic_1164.all;entity jkcfq is port(j,k,clk:in std_logic; q,qb:out std_logic);end entity jkcfq;architecture art of jkcfq issignal q_s,qb_s:std_logic;begin process(clk,j,k) isbeginif (clkevent and clk
25、=1) thenif(j=0 and k=1) then q_s=0; qb_s=1;elsif (j=1 and k=0) then q_s=1; qb_s=0;elsif (j=1 and k=1) then q_s=not q_s; qb_s=not qb_s; end if;end if ;q=q_s;qb=qb_s;end process;end architecture art;library ieee;use ieee.std_logic_1164.all;entity syndcfq isport(d,clk,reset:in std_logic;q:out std_logic);end entity syndcfq;architecture art of syndcfq isbegin process(clk) isbeginif(clkevent and clk=1) thenif(reset=0) then q=0; -時鐘邊沿到來且有復位信號,觸發(fā)器被復位else q=d; end if;end if;end process;end architecture art;7.5 邊沿D觸發(fā)器的HDL描述 觸發(fā)器是具有記憶功能的基本邏輯單元
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 如何有效利用短視頻進行全媒體傳播試題及答案
- 2025年婦幼保健員職業(yè)發(fā)展前景試題及答案
- 馬村鎮(zhèn)楚營小學落實國家課程標準實施方案
- 2025年茶藝師考試學習方法試題及答案
- 二零二五年度建材生意綠色建材推廣合作協(xié)議
- 二零二五年度農(nóng)村房產(chǎn)轉讓與生態(tài)農(nóng)業(yè)合作合同
- 二零二五年度個人債權轉讓協(xié)議書(關于企業(yè)債權轉讓)
- 2025年度風景區(qū)經(jīng)營權全面承包協(xié)議實施細則
- 2025年度鋼結構廠房建設安全協(xié)議書
- 2025年度環(huán)保設施建設補貼協(xié)議書
- 2024年廣州市天河區(qū)教育局直屬事業(yè)單位招聘考試真題
- 2024年河北郵政招聘筆試真題
- 河南省洛陽市~重點中學2025屆中考生物全真模擬試題含解析
- 《國際金融》課件-JJ10“一帶一路”與中國金融開放
- 4.1 公民基本義務 課件-2024-2025學年統(tǒng)編版八年級道德與法治下冊
- 《GNSS測量技術與應用》 課件 2.1.GNSS測量定位原理 - 副本
- 2025年湖南省勞動合同樣本示例
- 2025年河南應用技術職業(yè)學院單招職業(yè)傾向性測試題庫含答案
- 2025年山東濟寧城投控股集團招聘工作人員109高頻重點提升(共500題)附帶答案詳解
- 院感知識培訓課件
- DB51T 3080-2023 研學旅行實踐承辦機構服務與管理規(guī)范
評論
0/150
提交評論