



下載本文檔
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 八位七段數(shù)碼管動(dòng)態(tài)顯示電路的設(shè)計(jì)一、 實(shí)驗(yàn)?zāi)康?、 了解數(shù)碼管的工作原理。2、 學(xué)習(xí)七段數(shù)碼管顯示譯碼器的設(shè)計(jì)。3、 學(xué)習(xí)VHDL的CASE語句及多層次設(shè)計(jì)方法。二、 實(shí)驗(yàn)原理七段數(shù)碼管是電子開發(fā)過程中常用的輸出顯示設(shè)備。在實(shí)驗(yàn)系統(tǒng)中使用的是兩個(gè)四位一體、共陰極型七段數(shù)碼管。其單個(gè)靜態(tài)數(shù)碼管如下圖4-4-1所示。圖4-1 靜態(tài)七段數(shù)碼管由于七段數(shù)碼管公共端連接到GND(共陰極型),當(dāng)數(shù)碼管的中的那一個(gè)段被輸入高電平,則相應(yīng)的這一段被點(diǎn)亮。反之則不亮。共陽極性的數(shù)碼管與之相么。四位一體的七段數(shù)碼管在單個(gè)靜態(tài)數(shù)碼管的基礎(chǔ)上加入了用于選擇哪一位數(shù)碼管的位選信號(hào)端口。八個(gè)數(shù)碼管的a、b、c、d、e、
2、f、g、h、dp都連在了一起,8個(gè)數(shù)碼管分別由各自的位選信號(hào)來控制,被選通的數(shù)碼管顯示數(shù)據(jù),其余關(guān)閉。三、 實(shí)驗(yàn)內(nèi)容本實(shí)驗(yàn)要求完成的任務(wù)是在時(shí)鐘信號(hào)的作用下,通過輸入的鍵值在數(shù)碼管上顯示相應(yīng)的鍵值。在實(shí)驗(yàn)中時(shí),數(shù)字時(shí)鐘選擇1024HZ作為掃描時(shí)鐘,用四個(gè)撥動(dòng)開關(guān)做為輸入,當(dāng)四個(gè)撥動(dòng)開關(guān)置為一個(gè)二進(jìn)制數(shù)時(shí),在數(shù)碼管上顯示其十六進(jìn)制的值。四、 實(shí)驗(yàn)步驟1、 打開QUARTUSII軟件,新建一個(gè)工程。2、 建完工程之后,再新建一個(gè)VHDL File,打開VHDL編輯器對(duì)話框。3、 按照實(shí)驗(yàn)原理和自己的想法,在VHDL編輯窗口編寫VHDL程序,用戶可參照光盤中提供的示例程序。4、 編寫完VHDL程序后
3、,保存起來。方法同實(shí)驗(yàn)一。5、 對(duì)自己編寫的VHDL程序進(jìn)行編譯并仿真,對(duì)程序的錯(cuò)誤進(jìn)行修改。6、 編譯仿真無誤后,根據(jù)用戶自己的要求進(jìn)行管腳分配。分配完成后,再進(jìn)行全編譯一次,以使管腳分配生效。7、 根據(jù)實(shí)驗(yàn)內(nèi)容用實(shí)驗(yàn)導(dǎo)線將上面管腳分配的FPGA管腳與對(duì)應(yīng)的模塊連接起來。如果是調(diào)用的本書提供的VHDL代碼,則實(shí)驗(yàn)連線如下:CLK:FPGA時(shí)鐘信號(hào),接數(shù)字時(shí)鐘CLOCK3,并將這組時(shí)鐘設(shè)為1024HZ。KEY3.0:數(shù)碼管顯示輸入信號(hào),分別接撥動(dòng)開關(guān)的S4,S3,S2,S1。LEDAG6.0:數(shù)碼管顯示信號(hào),接數(shù)碼管的G、F、E、D、C、B、A。SEL2.0:數(shù)碼管的位選信號(hào),接數(shù)碼管的SEL
4、2、SEL1、SEL0。8、 用下載電纜通過JTAG口將對(duì)應(yīng)的sof文件加載到FPGA中。觀察實(shí)驗(yàn)結(jié)果是否與自己的編程思想一致。五、 實(shí)驗(yàn)現(xiàn)象與結(jié)果以設(shè)計(jì)的參考示例為例,當(dāng)設(shè)計(jì)文件加載到目標(biāo)器件后,將數(shù)字信號(hào)源模塊的時(shí)鐘選擇為1464HZ,撥動(dòng)四位撥動(dòng)開關(guān),使其為一個(gè)數(shù)值,則八個(gè)數(shù)碼管均顯示撥動(dòng)開關(guān)所表示的十六進(jìn)制的值。六、源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity smg is port( clk : in std
5、_logic; -定義動(dòng)態(tài)掃描時(shí)鐘信號(hào) k : in std_logic_vector(3 downto 0); -定義四位輸入信號(hào) ledag : out std_logic_vector(6 downto 0); -定義七位輸出信號(hào) del : buffer std_logic_vector(2 downto 0) -定義八位數(shù)碼管位置顯示信號(hào) ); end smg;architecture beha of smg is Signal key:std_logic_vector(3 downto 0); beginprocess(clk) variable dount : std_logic_vector(2 downto 0); begin if clkevent and clk=1 then -檢測(cè)時(shí)鐘上升沿 dount:=dount+1; -計(jì)數(shù)器dount累加 end if; delkeykeykeykeykeykeykeykey ledag ledag ledag ledag ledag ledag ledag leda
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025屆廣西玉林市博白縣物理高二第二學(xué)期期末監(jiān)測(cè)模擬試題含解析
- 2025屆內(nèi)蒙古鄂爾多斯市示范初中物理高一下期末學(xué)業(yè)水平測(cè)試試題含解析
- 四川省綿陽市江油中學(xué)2025屆物理高一第二學(xué)期期末統(tǒng)考模擬試題含解析
- 湖南省2025年物理高一下期末調(diào)研試題含解析
- 巡察組結(jié)對(duì)共建管理辦法
- 重慶保安管理辦法細(xì)則
- 桐鄉(xiāng)市燃?xì)馄抗芾磙k法
- 縣新型經(jīng)營(yíng)主體管理辦法
- 漢臺(tái)區(qū)涉案賬戶管理辦法
- 2025屆陜西省寶雞市眉縣高一物理第二學(xué)期期末質(zhì)量跟蹤監(jiān)視模擬試題含解析
- 學(xué)霸提優(yōu)第四單元《我們講文明》重難點(diǎn)梳理 課件
- 安徽青碩建設(shè)有限公司招聘筆試真題2024
- 公司適用法律法規(guī)標(biāo)準(zhǔn)清單2025年08月更新
- 2025年4月自考00077金融市場(chǎng)學(xué)試題
- 國(guó)家開放大學(xué)機(jī)考答案 5個(gè)人與團(tuán)隊(duì)管理2025-06-21
- 大慶師范學(xué)院《跳高》2023-2024學(xué)年第一學(xué)期期末試卷
- 2025年廣元市中考語文試卷真題(含標(biāo)準(zhǔn)答案)
- 幸福與健康課件
- 火龍罐綜合灸技術(shù)課件
- 大海(張雨生)原版五線譜鋼琴譜正譜樂譜
- 有限空間作業(yè)實(shí)操評(píng)分標(biāo)準(zhǔn)
評(píng)論
0/150
提交評(píng)論