版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、 fpga控制的lcd顯示電路設(shè)計 一、實驗?zāi)康?了解12864點陣型液晶顯示器的顯示原理。2掌握12864點陣型液晶顯示器的接口設(shè)計。3利用12864點陣型液晶顯示器來實現(xiàn)lcd廣告字幕機(jī)的設(shè)計。二、實驗內(nèi)容與要求利用12864點陣型液晶顯示模塊設(shè)計一個可顯示圖形和中文字符的lcd廣告字幕機(jī)。1基本要求:1) 能夠顯示圖形和文字。2) 要求顯示的圖形或文字穩(wěn)定、清晰無串?dāng)_。3) 在目測條件下lcd顯示屏各點亮度均勻、充足。2提高要求4) 圖形或文字顯示有靜止、移入移出等顯示方式。5) 顯示屏刷新頻率要求達(dá)到85hz。一. 引言 lcd液晶顯示器是 liquid crystal display
2、 的簡稱,lcd 的構(gòu)造是在兩片平行的玻璃當(dāng)中放置液態(tài)的晶體,兩片玻璃中間有許多垂直和水平的細(xì)小電線,透過通電與否來控制桿狀水晶分子改變方向,將光線折射出來產(chǎn)生畫面。比crt要好的多,但是價錢較其它顯示器貴。 fpga是英文fieldprogrammable gate array的縮寫,即現(xiàn)場可編程門陣列,它是在pal、gal、cpld等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(asic)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。fpga采用了邏輯單元陣列l(wèi)ca(logic cell array)這樣一個概念,內(nèi)部包括可配
3、置邏輯模塊clb(configurable logic block)、輸出輸入模塊iob(input output block)和內(nèi)部連線(interconnect)三個部分。fpga的基本特點主要有: 1)采用fpga設(shè)計asic電路,用戶不需要投片生產(chǎn),就能得到合用的芯片。 2)fpga可做其它全定制或半定制asic電路的中試樣片。 3)fpga內(nèi)部有豐富的觸發(fā)器和io引腳。 4)fpga是asic電路中設(shè)計周期最短、開發(fā)費用最低、風(fēng)險最小的器件之一5) fpga采用高速chmos工藝,功耗低,可以與cmos、ttl電平兼容。 二工作原理四、12864點陣型液晶顯示器本設(shè)計所用的jm128
4、64a是一種圖形點陣液晶顯示器,它主要由行驅(qū)動器、列驅(qū)動器及12864全點陣液晶顯示器組成。可完成圖形顯示;也可以顯示84個(1616點陣)漢字。112864點陣型液晶顯示器的顯示原理12864液晶顯示屏共有12864點陣,即每行顯示128點,每列顯示64點。此種型號的液晶顯示屏以中間間隔平均劃分為左屏和右屏分別顯示,均為6464點陣,而且各自都有獨立的片選信號控制選擇。先顯示左屏,左屏全部顯示完后才能顯示右屏。顯示屏上的顯示數(shù)據(jù)由顯示數(shù)據(jù)隨機(jī)存儲器ddram提供。ddram每字節(jié)中的每1個bit,對應(yīng)顯示屏上的1個點。bit值為1,對應(yīng)點顯示,反之不顯示。ddram與顯示屏的對應(yīng)位置如圖1所
5、示。每半屏顯示數(shù)據(jù)共有512字節(jié)的ddram,分為8個數(shù)據(jù)頁來管理,這些頁對應(yīng)顯示屏從上到下編號為07頁,每頁64字節(jié),涵蓋半邊顯示屏的64行64列8bit點陣數(shù)據(jù)。向顯示屏寫數(shù)據(jù)實際上是向ddram中寫數(shù)據(jù),ddram不同頁和不同列中的字節(jié)數(shù)據(jù)唯一對應(yīng)顯示屏一行的8個顯示點。例如,向ddram第0頁的第0列寫入數(shù)據(jù)00010100b,則顯示屏左上角第0列的8個顯示點只有從上往下的第3和5點顯示。不同頁和不同列ddram的尋址,通過左半屏和右半屏各自的頁地址計數(shù)器和列地址計數(shù)器實現(xiàn),因此對顯示屏ddram寫顯示數(shù)據(jù)前,需要先設(shè)置頁地址和列地址。 圖1 12864液晶顯示屏與內(nèi)部ram的對應(yīng)關(guān)系
6、212864液晶顯示器的內(nèi)部結(jié)構(gòu)及外部引腳1)12864液晶顯示器的內(nèi)部結(jié)構(gòu)12864液晶顯示器的內(nèi)部結(jié)構(gòu)框圖如圖2。 圖2 12864點陣型液晶顯示器的內(nèi)部結(jié)構(gòu)框圖 ic1控制模塊的左半屏,ic2控制模塊的右半屏。ic3為行驅(qū)動器。ic1,ic2為列驅(qū)動器。ic1,ic2,ic3含有如下主要功能器件。了解如下器件有利于對lcd模塊的編程。a) 指令寄存器(ir) ir是用來寄存指令碼,與數(shù)據(jù)寄存器寄存數(shù)據(jù)相對應(yīng).當(dāng)d/i=1 時,在e信號下降沿的作用下,指令碼寫入ir。.b) 數(shù)據(jù)寄存器(dr) dr是用來寄存數(shù)據(jù)的,與指令寄存器寄存指令相對應(yīng).當(dāng)d/i=1時,在e信號的下降沿作用下,圖形顯
7、示數(shù)據(jù)寫入dr,或在e信號高電平作用下由dr讀到db7db0 數(shù)據(jù)總線.dr 和ddram之間的數(shù)據(jù)傳輸是模塊內(nèi)部自動執(zhí)行的。 c) 狀態(tài)寄存器有效數(shù)據(jù)位3位,用于記錄“忙”信號標(biāo)志位(bf),復(fù)位標(biāo)志位(rst)以及開/關(guān)顯示狀態(tài)位(on/off)。d) xy地址計數(shù)器 xy地址計數(shù)器是一個9位計數(shù)器。高三位是x地址計數(shù)器,低6位為y地址計數(shù)器,xy地址計數(shù)器實際上是作為ddram的地址指針,x地址計數(shù)器為ddram的頁指針,y地址計數(shù)器為ddram的y地址指針。 x地址計數(shù)器是沒有記數(shù)功能的,只能用指令設(shè)置。 y地址計數(shù)器具有循環(huán)記數(shù)功能,各顯示數(shù)據(jù)寫入后,y地址自動加1,y地址指針從0到
8、63。e) 顯示數(shù)據(jù)ram(ddram) ddram是存貯圖形顯示數(shù)據(jù)的。ddram與地址和顯示位置的關(guān)系見圖1。f) z地址計數(shù)器 z地址計數(shù)器是一個6位計數(shù)器,此計數(shù)器具備循環(huán)記數(shù)功能,它是用于顯示行掃描同步。當(dāng)一行掃描完成,此地址計數(shù)器自動加1,指向下一行掃描數(shù)據(jù),rst復(fù)位后z地址計數(shù)器為0。z地址計數(shù)器可以用指令display start line 預(yù)置。因此,顯示屏幕的起始行就由此指令控制,即ddram的數(shù)據(jù)從哪一行開始顯示在屏幕的第一行。此模塊的ddram共64行,屏幕可以循環(huán)滾動顯示64行。2)12864液晶顯示器的外部引腳 12864液晶顯示模塊共有20個引腳,包括8位雙向數(shù)
9、據(jù)線、6條控制線及電源線等。具體引腳功能見下表所示。管腳號管腳名稱電平管腳功能描述1vss0v電源地2vdd5.0v電源電壓3v0-液晶顯示器驅(qū)動電壓4d/ih/ld/i=“h”,表示db7db0為顯示數(shù)據(jù)d/i=“l(fā)”,表示db7db0為顯示指令數(shù)據(jù)5r/wh/lr/w=“h”,e=“h”,數(shù)據(jù)被讀到db7db0r/w=“l(fā)”,e=“hl”, db7db0的數(shù)據(jù)被寫到ir或dr6eh/l使能信號:r/w=“l(fā)”,e信號下降沿鎖存db7db0 r/w=“h”,e=“h” dram數(shù)據(jù)讀到db7db0(使能端,高電平有效)7db0h/l數(shù)據(jù)線8db1h/l數(shù)據(jù)線9db2h/l數(shù)據(jù)線10db3h/
10、l數(shù)據(jù)線管腳號管腳名稱電平管腳功能描述11db4h/l數(shù)據(jù)線12db5h/l數(shù)據(jù)線13db6h/l數(shù)據(jù)線14db7h/l數(shù)據(jù)線15cs1h/l左半屏片選信號,低電平有效16cs2h/l右半屏片選信號,低電平有效17reseth/l復(fù)位信號,低電平復(fù)位18vee-10vlcd驅(qū)動負(fù)電壓19ied+dc+5v背光板電源20ied-dc0v背光板電源312864液晶顯示器的編程指令1) 顯示開關(guān)控制(display on/off)代碼r/w d/idb7db6db5db4db3db2db1db0形式 0 0 0 0 1 1 1 1 1 1設(shè)置屏幕顯示開/關(guān)。d/i=1,開顯示。d=0,關(guān)顯示。不影響
11、ddram中的內(nèi)容。2) 設(shè)置顯示起始行(display start line) 代碼r/w d/idb7db6db5db4db3db2db1db0形式 0 0 1 1 a5 a4 a3 a2 a1 a0 前面在介紹z地址計數(shù)器時已經(jīng)描述了顯示起始行是由z地址計數(shù)器控制的。a5a0 6位地址自動送入z地址計數(shù)器,起始行的地址可以是063的任意一行。例如:選擇a5a0是62,則起始行與ddram行的對應(yīng)關(guān)系如下:ddram 行:62 63 0 1 2 3 28 29屏幕顯示行: 1 2 3 4 5 6 31 323) 設(shè)置頁地址(set page “x address”)代碼r/w d/idb7
12、db6db5db4db3db2db1db0形式 0 0 1 0 1 1 1 a2 a1 a0 所謂頁地址就是ddram的行地址,8行為一頁,模塊共64行即8頁,a2a0表示07頁。讀寫數(shù)據(jù)對地址沒有影響,頁地址由本指令或rst信號改變復(fù)位后頁地址為0。4) 設(shè)置y地址(set y address) 代碼r/w d/idb7db6db5db4db3db2db1db0形式 0 0 0 1 a5 a4 a3 a2 a1 a0 此指令的作用是將a5a0送入y地址計數(shù)器,作為ddram的y地址指針。在對ddram進(jìn)行讀寫操作后,y地址指針自動加1,指向下一個ddram單元。5) 讀狀態(tài)(status r
13、ead)代碼r/w d/idb7db6db5db4db3db2db1db0形式 1 0 busy 0on/offret 0 0 0 0 當(dāng)r/w=1 d/i=0時,在e信號為“h”的作用下,狀態(tài)分別輸出到數(shù)據(jù)總線(db7db0)的相應(yīng)位。bf:bf1,內(nèi)部正在進(jìn)行操作,bf0,空閑狀態(tài)。on/off:on/off1,表示顯示打開,on/off0,表示顯示關(guān)閉。rst: rst=1表示內(nèi)部正在初始化,此時組件不接受任何指令和數(shù)據(jù)。6) 寫顯示數(shù)據(jù)(write display date) 代碼r/w d/idb7db6db5db4db3db2db1db0形式 0 1 d7 d6 d5 d4 d3
14、d2 d1 d0 d7d0為顯示數(shù)據(jù),此指令把d7d0寫入相應(yīng)的ddram單元,y地址指針自動加1。7) 讀顯示數(shù)據(jù)(read display date) 代碼r/w d/idb7db6db5db4db3db2db1db0形式 1 1 d7 d6 d5 d4 d3 d2 d1 d0 此指令把ddram的內(nèi)容d7d0讀到數(shù)據(jù)總線db7db0,y地址指針自動加1。五、12864點陣型液晶顯示器的接口電路設(shè)計通過前面對12864顯示屏引腳功能的分析可以知道,該模塊有一個整體的片選信號“e”,只有當(dāng)該信號為高電平時,所有的電路才會有效。另外左右半屏各有一個選擇信號cs1和cs2,cs1和cs2各自為低
15、電平時,分別選中左半屏和右半屏。為了區(qū)分讀寫的是數(shù)據(jù)還是指令,還設(shè)置了一個數(shù)據(jù)/指令控制線d/i。根據(jù)這些原則,設(shè)計出接口電路如圖3所示。 圖3 液晶顯示器的接口電路由于cs0的地址范圍為280h283h,由接口電路的設(shè)計可得液晶屏的相關(guān)地址,如下表。操作a1a0端口地址向左半屏寫指令00280h向右半屏寫指令10282h讀/寫左半屏數(shù)據(jù)01281h讀/寫右半屏數(shù)據(jù)11283h讀狀態(tài)寄存器00280h五、軟件設(shè)計對12864的具體結(jié)構(gòu)有了比較深入的了解,12864分左右兩屏,像素點為128*64個像素點,行有128個像素點,列有64個像素點,行又設(shè)置為8頁,在12864默認(rèn)狀態(tài)下中文字體都是1
16、6*16的大小,每個頁包含8個像素行,所以要顯示一個中文就需要2頁;初始行的設(shè)定可以使得你要顯示的字出現(xiàn)在任意你想要的位置。對液晶顯示器的編程就是向ddram中寫數(shù)據(jù)。在寫ddram之前,需要先清除ram,且左屏和右屏要分別進(jìn)行清除。方法就是向ram的所有單元寫入0值。12864寫驅(qū)動程序的時候需要寫七個指令分別是:“檢忙”,“寫指令”,“寫數(shù)據(jù)”,“寫顯示開關(guān)”,“寫頁”,“寫列”,“寫初始行”。向lcd寫顯示數(shù)據(jù)的流程圖如下: 液晶顯示器的編程流程如下圖:清左屏ram左右展開顯示寫入lcd左屏數(shù)據(jù)寫入lcd左屏數(shù)據(jù)清左屏ram開始系統(tǒng)初始化nynyyn將起始頁地址存入bl頁地址加1設(shè)置列地
17、址顯示ram設(shè)置頁地址lcd工作忙已顯示64列頁地址已設(shè)置8次結(jié)束六lcd的應(yīng)用廣告字幕機(jī)是用lcd輸出不同的漢字和圖形。要液晶顯示器顯示不同的圖形或漢字,就是向ddram中寫入不同的數(shù)據(jù)。根據(jù)前面所說的液晶顯示屏與ddram的對應(yīng)關(guān)系,可以構(gòu)造不同的數(shù)據(jù)來顯示不同的圖形和漢字。七實驗結(jié)果用vhdl語言進(jìn)行仿真后生成的波形如下圖所示:生成的rtl電路圖如下:參考文獻(xiàn)潘松,黃繼業(yè)編著eda技術(shù)與vhdl(第2版)m,北京:清華大學(xué)出版社,2007沈明山編著,eda技術(shù)及可編程器件應(yīng)用實訓(xùn),北京:科學(xué)出版社,2004李國洪,沈明山,胡輝編著,可編程器件eda技術(shù)與實踐,北京:機(jī)械工業(yè)出版社,200
18、4.7源程序附件如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity lcd is port( clk: in std_logic; rs,rw,cs1,cs2,e: out std_logic; address: out std_logic_vector(4 downto 0); q: out std_logic_vector(7 downto 0) );end; architecture behav of lcd is t
19、ype states is(st0,st1,st2,st3,st4,st5,st6,st7, st8,st9,st10,st11,st12,st13,st14,st15,st16) ; -狀態(tài)定意 signal pre_state,next_state:states; signal datalock,en,rst1:std_logic; signal addr:integer range 0 to 65:=0; signal xpage:std_logic_vector(7 downto 0):=10111000; signal yaddr:std_logic_vector(7 downto
20、0):=01000000;variable i :integer range 0 to 511:=0 ;type status is array (0 to 511 ) of std_logic_vector(7 downto 0);constant word:status:=(00010000),(00000100),(01100000),(00000100),(00000001),(11111111),(11000110),(00000000),(00110000),(00000000),(00000010), (00000000), (11100010), (00000111), (00
21、100010), (00000010), (00100010), (00000010), (11100010), (00000111),(00000010), (01000000), (00000010), (10000000), (11111110), (01111111),(00000010), (00000000), (00000010), (00000000), (00000000), (00000000), -河 (00000100),(00000000),(00000100),(00000000),(11100100),(01111111),(00100100),(00000100
22、),(00100100),(00000101),(01100100),(00000101),(10110100),(00000101),(00101111),(01111111),(00100100),(00000101),(10100100),(00000101),(01100100),(00000101),(00100100),(00100101),(00100100),(01000100),(11100110),(00111111),(00000100),(00000000),(00000000),(00000000), -南(00010000),(00000100),(00010010
23、),(00000010),(10010010),(00000001),(01110010),(0000000000),(11111110),(11111111),(01010001),(00000000),(10010001),(00000100),(00000000),(00000100),(00100010),(00000100),(11001100),(00000010),(0000000000),(00000010),(0000000000),(00000010),(11111111),(111111111),(00000000),(000000001),(00000000),(000
24、00001),(000000000),(00000000), -科(00001000),(00000001),(00001000),(010000001),(10001000),(10000000),(11111111),(01111111),(01001000),(00000000),(00101000),(01000000),(00000000),(010000000),(11001000),(00100000),(01001000),(00010011),(01001000),(00001100),(01111111),(00001100),(01001000),(00010010),(
25、11001000),(001000001),(01001000),(01100000),(00001000),(00100000),(00000000),(00000000), -技,(00000000),(00000000),(00000000),(00000000),(11111000),(00001111),(01001000),(00000100),(01001000),(00000100),(01001000),(00000100),(01001000),(00000100),(11111111),(00111111),(01001000),(01000100),(01001000)
26、,(01000100),(01001000),(01000100),(01001000),(01000100),(11111000),(01001111),(00000000),(01000000),(00000000),(01110000),(00000000),(00000000),-電;(10000000),(00000000),(01000000),(00000000),(00110000),(00000000),(11111100),(01111111),(000111),(00000000),(00000101),(00000000),(01011000),(01111110),(
27、10101000),(00100010),(10101001),(00100010),(10101110),(00100010),(10101010),(00100010),(10101000),(00100010),(01011000),(01111110),(00001000),(00000000),(00001000),(00000000),(00000000),(00000000),-信;(00010000),(0000100),(00010010),(00000010),(10010010),(00000001),(01110010),(00000000),(11111110),(1
28、1111111),(01010001),(00000000),(10010001),(00000100),(00000000),(00000100),(00100010),(00000100),(11001100),(00000010),(00000000),(00000010),(00000000),(00000010),(11111111),(11111111),(00000000),(00000001),(00000000),(00000001),(00000000),(00000000),-科;(00000000),(00000000),(11100000),(00001111),(0
29、0010000),(00010000),(00001000),(00100000),(00001000),(00100000),(00010000),(00010000),(11100000),(00001111),(00000000),(00000000),-“0”;(00000000),(00000000),(00001110),(00001111),(00010000),(00010001),(10001000),(00100000),(10001000),(00100000),(00011000),(00010001),(00000000),(00001110),(00000000),
30、(00000000),-6(00000000),(00000000),(00000000),(00000100),(00001000),(00111000),(00110000),(00000000),(10000000),(01000000),(01000000),(01000000),(01000000),(01000000),(01000000),(11111110),(11111100),(01000000),(01000000),(01000100),(01111100),(01011000),(01100000),(01100000),(01000000),(00000000),(
31、00000000),(00000001),(00000110),(00011100),(00011000),(11000000),(00111000),(00000111),(00000000),(00000000),(11111110),(00100100),(00100100),(00100100),(00100100),(11111111),(11111111),(00100100),(00100100),(00100100),(00100100),(11111110),(11111110),(00000000),(00000000),(00000000),(00000000),(000
32、00000),(00000100),(11101100),(11111110),(00000011),(00000000),(00000000),(00000000),(00000000),(11111111),(00000100),(00000100),(00000100),(00000100),(11111111),(11111111),(00000100),(10000100),(10000100),(00000100),(11111111),(11111111),(00000000),(00000000),(00000000),(00000000),(00000000),(000000
33、00),(00000000),(00000001),(00000000),(00000000),(00000000),(00000000),(00000000),(00000001),(00000000),(00000000),(00000000),(00000000),(00000001),(00000001),(00000000),(00000000),(00000001),(00000011),(00000001),(00000000),(00000000),(00000000),(00000000),/*浦,0*/(00000000),(00000000),(00000000),(00
34、000000),(00000000),(00000000),(00000000),(00000000),(10000000),(11000000),(01110000),(00011100),(00001110),(00010100),(00100000),(11000000),(10000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00100000),(00100000),(00010000),(00001
35、000),(00001100),(00000110),(00000011),(00010001),(00010000),(00010000),(00010000),(11110000),(00010000),(00010000),(00010000),(00010001),(00001111),(00001010),(00000110),(10001100),(00001100),(00011100),(00001000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000001),(00000001
36、),(00000101),(00011001),(11110001),(01100001),(00000001),(00000001),(11111111),(00000001),(00000001),(10000001),(01100001),(00111001),(00001101),(00000001),(00000001),(00000001),(10000000),(10000000),(00000000),(00000000),(00000000),(00000000),(00000001),(00000001),(00000001),(00000001),(00000001),(
37、00000001),(00000000),(00000001),(00000001),(00000001),(00000000),(00000001),(00000001),(00000000),(00000001),(00000001),(00000001),(00000001),(00000001),(00000001),(00000000),(00000000),(00000000),(00000000),/*金,1*/(00000000),(00000000),(00000000),(00000000),(00000000),(11000000),(01111000),(0001111
38、0),(00000100),(00100000),(00100000),(00100000),(00100000),(00100000),(00100000),(11111110),(00100000),(00100000),(00100000),(00100000),(00100000),(00100000),(00110000),(00100000),(00000000),(00000000),(00000000),(00100000),(00010000),(00001100),(00000011),(00000001),(11111111),(00000010),(01000000),
39、(01000010),(01000010),(01000010),(01000010),(01000010),(01000010),(11111111),(01000100),(01000100),(01000100),(01000010),(01000011),(01000010),(11100000),(01000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(11111111),(00000000),(00000000),(00000000),(00
40、000000),(00000000),(00000000),(00000000),(00000000),(11111111),(00000000),(00000000),(00000000),(00010000),(01110000),(00110000),(00011111),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000010),(00000001),(00000000),(00000000),(00000000),(00000000),(00000
41、000),(00000000),(00000000),(00000000),(00000001),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),/*偉,2*/(00000000),(00000000),(00000000),(00010000),(00010000),(00010000),(00010000),(00010000),(11111000),(00001000),(00001100),(00001100),(0
42、0001100),(00000000),(00000000),(11110000),(00100000),(00100000),(00100000),(00100000),(00100000),(00100000),(11110000),(00100000),(00000000),(00000000),(00000000),(00000000),(00000010),(00000010),(00000010),(10000010),(11100010),(00111110),(11111111),(00010010),(00100010),(01100010),(11000011),(1000
43、0010),(00000000),(11111111),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(11111111),(00000000),(00000000),(00000000),(00000000),(00010000),(00001000),(00000100),(00000010),(00000001),(00000000),(00000000),(11111111),(00000000),(00000000),(00000000),(00000000),(00000000),(0000000
44、0),(00111111),(00001000),(00001000),(00001000),(00001000),(00001000),(00001000),(00011111),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000001),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),
45、(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),/*和,3*/(00000000),(00000000),(00000000),(00000000),(11111000),(00001111),(01001000),(00000100),(01001000),(00000100),(01001000),(00000100),(01001000),(00000100),(11111111),(00111111),(010010
46、00),(01000100),(01001000),(01000100),(01001000),(01000100),(01001000),(01000100),(11111000),(01001111),(00000000),(01000000),(00000000),(01110000),(00000000),(00000000),-電;(00000000),(00100000),(00000000),(00011000),(11111110),(00100111),(00000010),(00010000),(01000010),(00010000),(01000010),(000100
47、00),(01000010),(00010000),(01000010),(00010000),(11111010),(00111111),(01000010),(00100000),(01000010),(00100001),(01000010),(00101110),(01100010),(00100100),(01000010),(00100000),(00000010),(00100000),(00000000),(00000000),-壓;(10000000),(00000000),(01000000),(00000000),(11110000),(11111111),(001011
48、11),(00000000),(00000010),(01000000),(00000100),(01000000),(11100100),(01111111),(10100100),(01001010),(10110100),(01001010),(10101111),(01001010),(10100110),(01001010),(10100100),(01001010),(11100100),(01111111),(00000100),(01000000),(00000000),(01000000),(00000000),(00000000),-值;(00000000),(000000
49、00),(00010000),(00000000),(00010000),(01000000),(00010010),(00100000),(00010100),(00010000),(00011100),(00001000),(00010000),(00000110),(11110000),(00000001),(10011111),(00000000),(00010000),(00010001),(00010000),(00100110),(00010000),(01000000),(00010000),(00100000),(11111000),(00011111),(00010000),(00000000),(00000000),(00000000); -為constant bulu:status:=(00100000),(00000000),(00100000),(10000000),(00100000),(01000000),(00100000),(00100000),(00100000),(00010000),(00100000),(00001100),(10100000),(00000011),(01
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五版國有土地臨時用地合同3篇
- 二零二五版高級別別墅居住權(quán)購置與買賣合同3篇
- 醫(yī)院2025年度物流配送服務(wù)合同2篇
- 二零二五年度交通樞紐“四害”滅治與旅客健康服務(wù)合同3篇
- 二零二五版數(shù)字藝術(shù)版權(quán)保護(hù)與侵權(quán)處理合同范本3篇
- 二零二五版宅基地使用權(quán)轉(zhuǎn)讓及農(nóng)村土地流轉(zhuǎn)收益分配合同2篇
- 二零二五年戶外廣告牌場地租賃及新媒體營銷合同3篇
- 二零二五年投影機(jī)采購與燈光音響租賃服務(wù)合同3篇
- 二零二五版建筑工程項目招投標(biāo)代理中介費合同3篇
- 二零二五版汽車零部件鈑金加工及機(jī)加服務(wù)采購合同模板3篇
- 退學(xué)費和解協(xié)議書模板
- 2024至2030年中國對氯甲苯行業(yè)市場全景調(diào)研及發(fā)展趨勢分析報告
- 智能教育輔助系統(tǒng)運營服務(wù)合同
- 心功能分級及護(hù)理
- DLT 572-2021 電力變壓器運行規(guī)程
- 重慶育才中學(xué)2025屆化學(xué)九上期末教學(xué)質(zhì)量檢測試題含解析
- 成都市2022級(2025屆)高中畢業(yè)班摸底測試(零診)數(shù)學(xué)試卷(含答案)
- 【云南省中藥材出口現(xiàn)狀、問題及對策11000字(論文)】
- 服裝板房管理制度
- 河北省興隆縣盛嘉恒信礦業(yè)有限公司李杖子硅石礦礦山地質(zhì)環(huán)境保護(hù)與治理恢復(fù)方案
- 第七章力與運動第八章壓強(qiáng)第九章浮力綜合檢測題(一)-2023-2024學(xué)年滬科版物理八年級下學(xué)期
評論
0/150
提交評論