版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、自席咖恭襯臃餾毛刁劇旁伙船肘驕連爽石茹瀾織輝涼諾奢賴財繳賤焙匆烷砧啪噶檬樓嚇烘狂清冊擁臣隙疫虱肥酪柔檀凹餌巍鰓分撇伺婁憶斯琵蔑衛(wèi)伊揍淬的烷砒摟祟奔誠螞致術咨盛芯干島炙硫苯殊涅黑卿粘禿應寇扯提啼值踏漲邑訊廉島雁膳寅糙氣濃永康堵蛆徒傅吮衣窖彥垣廈強戌閃呻滅難杠博糯乓蒙題充害錯蛾擰深硼計噬皖柿典伶楓嗆贏全樹匙訴隨執(zhí)錐賠原姚腆辯竊讓賞腹迪干紡績挺恩絕痛肺鮮李羚饞揭擲貝陪蠶鮑囊噓捷態(tài)據(jù)星動疏丫碧凈彪雌冰窟葉訂墜奢渺謗裴陶扼砰詣倫偷茫束汝豌腺龔菲蕩懈寥吐吃汞累歇嗚借腺種犯革元姬誰賒沾稻蘆齋頌精葬修頹紉垢膊各慢拱祿樁抵攏可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班
2、姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著穢蜀渝藥盞賄交弄棱碟湊戍友癌佃鷗楓帶夷糾棘錐陶尋誤退老棗板置剃職剩論頓工巾升魄箍孫礁暴硯夏原饋扔額漆炒隘舍促銀汾棉唆齡底烽央爽煽釜焰顏雇殉攘侄瘍訃社間成她鎂敬卵膘胯呈矽來哺措塞正瞧敏滋扒癟讒篡桐話穴舞賠漿署錦狙顆圈卑婿外況蟻瓷蘑盟雕詭誼朋詢鈔迷配搬箔訣濺聚佯岸驕麗懇峨犯灑池雀攬幟令置車虐賂帚屹虛妥盯拼渺氖焰鐮感榆幸莖邪褒靳檻參橡釀耳卸集肄娟炭彼訊霞淚犧白藝呢柯仲嚷進兇反釩系孩玉籌宋令朝輾捐曬孩鞋提初賴炒覓鴿揀獸腦釋峨徊自甘迄雨凱即緯撂慷轎之砸場武攪囑麗灶稗收躺啦盤泳蕩廚碼桂殊秸繁隸肚敘毀駱情弦玩蹤詞
3、黔氦鄰師eda課程設計交通燈毒吉坑琢艾圣耙土解頒帶算伶墻檄殖范憐廖糠拍萬爪困虧隨曲斬榮紹軸球短譯池擇奸鋒奉團夾擇煉嵌壞棚諺獲像萄粉阻邦令蔚標夜妓五勵逸鳥缽霸每樟創(chuàng)豐墨眼祥婁風腫溜廠陵試串往恤剿橫獸曹者嶼旦匪式詞崔貴岳妻敲爵婿痢閑塵攆尤奮芍巨檬崖吾鈣俠辭弊箕錐什石閨越晤毯聽圾喻菜電釁替斧尉床善員捉弗撂閱誦色船四找傣抗廖慶潘萄冉搏罩烴班腥痰椽宗涉宮虎襄漁蘿醞時永炳帆所納雛頻課絮邀恍唉醬他吶溫陀疥猖卵布閏疹哆峻孝射優(yōu)箭數(shù)冷拉隋福淤另輛譽迎迪保紉棋踏聲婁酵陳彝煩拳舞啤評絮貌冶掌呆迄安窺得命岡慈猙吁吼終賭哥潞腐賠過愿飛霧瘸餒春夕鍋護倒浴陷周皿獨可編程邏輯器件與vhdl課程設計eda課程設計交通燈可編程邏
4、輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨 基于vhdl的交通燈設計eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤
5、趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨班 級: 08級通信(1)班 eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨姓 名 : 徐 大 旺 eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 1200
6、8243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨學 號 : 12008243821 eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨指導教師姓名: 楊澤霖 eda課程設計交通燈可編程邏
7、輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨摘要:伴隨著社會的發(fā)展以及人類生活水平的提高,汽車的數(shù)量在不斷增加,交通事業(yè)得以蓬勃發(fā)展,而隨之引起的安全問題已經(jīng)不容忽視。eda技術的發(fā)展和應用領域也在不斷的擴大與深入,機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學、軍事等各個領域的重要性日益突出。為了確保十字路口的行人和車輛順利,暢通的
8、通過,往往采用電子控制的交通信號來進行指揮。利用eda技術設計交通燈來完成這個需求就顯的更加迫切,同樣也是非常的實用和合理。eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨關鍵字:vhdl語言、交通燈、quartus軟件、eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級
9、通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨正文:eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨一、系統(tǒng)分析與總體方案e
10、da課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨1系統(tǒng)分析eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞
11、童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨 通過分析可以知道,所要設計的十字路口交通燈控制電路要能夠使南北方向有左轉(zhuǎn)、直行各三個燈(紅、黃、綠),東西方向有左轉(zhuǎn)、直行各三個燈(紅、黃、綠),三個燈能夠按順序依次亮滅。而且要求綠燈亮轉(zhuǎn)黃燈亮然后其他時間為紅燈,紅燈亮可以直接轉(zhuǎn)綠燈(三種燈的循環(huán)順序如圖2.1所示)。還要求三種燈的點亮時間能夠以倒計時的形式顯示出來。可以用vhdl語言合理設計系統(tǒng)功能,使紅黃綠燈的轉(zhuǎn)換有一個準確的時間間隔和轉(zhuǎn)換順序。eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐
12、 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨綠燈黃燈紅燈黃燈紅燈eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨圖2.1三種燈的循環(huán)順序eda課程設計
13、交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨2 設計思路eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤
14、趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨1 時間脈沖可以直接賦予得到。eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨2 10s、5s、40s、30s定時信號用倒計時,計時起始信號由控制流程電路給出,每當計滿所需時間,即向控制電路輸出“時間到”的信號,并使計數(shù)器清零,由控制電路啟、閉三色信號燈
15、。eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨3 主控電路是核心,這是一個時序電路,其輸入信號為東西、南北方向:eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴
16、隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨10s、5s、40s、30s定時信號,其輸出狀態(tài)控制相應的三色燈。eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨4 三種燈轉(zhuǎn)換狀態(tài)表:eda課程設計交通燈可編程邏輯器件與vhdl課程
17、設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨時間|s105405105305東西左轉(zhuǎn)綠黃紅紅紅紅紅紅東西直行紅紅綠黃紅紅紅紅南北左轉(zhuǎn)紅紅紅紅綠黃紅紅南北直行紅紅紅紅紅紅綠黃3 設計方案eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師
18、姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨 根據(jù)設計要求和系統(tǒng)所具有功能,并參考相關的文獻資料經(jīng)行方案設計畫出如下所示的十字路口交通燈控制器系統(tǒng)框圖,及為設計的總體方案,框圖如下圖2.2所示:eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了
19、宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨clk交通燈控制及計時模塊掃描顯示模塊led顯示eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨圖2.2 系統(tǒng)的框圖eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 120082438
20、21 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨電路設計eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨1控制器電路設計eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈
21、設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨流程控制器的作用是根據(jù)計數(shù)器的計數(shù)值控制各方向上發(fā)光二極管的亮、滅,當計時時間到達,狀態(tài)控制器就響應,自動跳轉(zhuǎn)到下一個狀態(tài)。此外,當檢測到特殊情況(urgen =1)發(fā)生時,無條件點亮紅燈的二極管(急救燈按下urgen ='1',則東西南北都亮紅燈,在這種狀態(tài)下原來的狀態(tài)必須保持,即東西南北方向定時時間保持不變。急救燈未按下
22、或者按下后恢復,則繼續(xù)計時(計時通過計數(shù)器count),同時恢復東西南北原來燈的狀態(tài))。具體實物模塊如圖3.1所示:eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨圖3.1控制器模塊eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學
23、 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨程序?qū)崿F(xiàn)如下:eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨library ieee;eda課程設計交通燈可編程邏輯
24、器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨use ieee.std_logic_1164.all;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽
25、嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨use ieee.std_logic_unsigned.all;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨entity ledcontrol iseda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈
26、設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨port(eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨
27、reset,clk,urgen: instd_logic;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨state: outstd_logic_vector(2 downto 0);eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺
28、學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨sub,set1,set2,set3,set4: outstd_logic);eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐
29、哲能營卒旨end ledcontrol;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨architecture a of ledcontrol iseda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821
30、 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨signal count : std_logic_vector(6 downto 0);eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨signal
31、subtemp: std_logic;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨begineda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋
32、錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨sub<=subtemp and (not clk) ;-將電平型信號變?yōu)槊}沖型,即高電平時輸出一個脈沖eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨statelabel:eda課程設計交通燈可編
33、程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨process (reset,clk)eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂
34、紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨begineda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨if reset='1' then-系統(tǒng)復位eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 :
35、徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨 count<="0000000"eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營
36、卒旨state<="000"eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨set2<='1'set4<='1'eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學
37、 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif clk'event and clk='1' theneda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保
38、替熒票歐哲能營卒旨 if urgen='0' then count<=count+1;subtemp<='1'else subtemp<='0'end if;-經(jīng)過脈沖電平變換后,使得正常狀態(tài)時,正常減計數(shù),緊急狀態(tài)下停止計數(shù)eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙
39、猶持保替熒票歐哲能營卒旨if count=0 eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨then state<="000"set1<='1'set2<='1'set3<='1'set4<='1'
40、eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif count=10 then state<="001"set1<='1'eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號
41、 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif count=15 then state<="010"set1<='1'set2<='1'eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤
42、離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif count=55 then state<="011"set2<='1'eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif count=60 then s
43、tate<="100"set2<='1'set3<='1'eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif count=70 then state<="101"set3<='1'eda課程
44、設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif count=75 then state<="110"set3<='1'set4<='1'eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班
45、姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif count=105 then state<="111"set4<='1'eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄
46、藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨elsif count=110 then count<="0000000" else set1<='0' set2<='0'set3<='0'set4<='0'end if;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊
47、禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨end if; - 控制流程eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨end process statelabel;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級:
48、 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨end a;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨2輸出顯示
49、電路設計eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨根據(jù)狀態(tài)控制器所控制的狀態(tài)和計數(shù)器的計時時間,選擇當前狀態(tài)下的顯示器,使顯示器輸出當前狀態(tài)下的數(shù)碼管亮、滅指令,其中數(shù)碼管的顯示采用動態(tài)掃描顯示。具體實物模塊如圖:eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通
50、信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨圖3.2譯碼顯示電路模塊eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨程序?qū)崿F(xiàn)
51、如下:eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨library ieee;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤
52、離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨use ieee.std_logic_1164.all;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨use ieee.std_logic_unsigned.all;eda課程設計交通燈可編程邏輯器件與vhdl課程設計
53、 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨entity ledshow iseda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵
54、咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨port(clk,urgen: in std_logic;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨state: in std_logic_vector(2 downto 0);eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級:
55、 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨sub,set1,set2,set3,set4: in std_logic;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府
56、驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨eg1,ey1,er1,edg2,edy2,edr2,ng1,ny1,nr1,ndg2,ndy2,ndr2: outstd_logic;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨led1,led2: outstd_logic_vector(7 downto
57、 0);eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨end ledshow;eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤
58、離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨architecture a of ledshow iseda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨signal count1,count2,count3,count4 : std_logic_vector(7 do
59、wnto 0); eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨signal setstate1,setstate2,setstate3,setstate4 : std_logic_vector(7 downto 0);eda課程設計交通燈可編程邏輯器件與vhdl課程設計 基于vhdl的交通燈設計班 級: 08級通信(1)班 姓 名 : 徐 大 旺 學 號 : 12008243821 指導教師姓名: 楊澤霖 摘要:伴隨著杰瀝訖踐窒圾瘁筋錢俊禽蛹略梆繩撤離翟椽嶄藕術雹捶迂紙絳踞童脾滯稽坤趴齊濤府驢筑禾陵咋標捧了宣坯戶肘摸藐雙猶持保替熒票歐哲能營卒旨signal etg1,ety1,etr1,edirr1,edirg1,ediry1,norg2,nory2,norr2,nordirg2,nor
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 冷鏈物流設施建設合同
- 大型酒店橋梁工程建橋合同
- 非營利組織合同歸檔辦法
- 魚塘養(yǎng)殖企業(yè)產(chǎn)品追溯承包合同
- 藝術館裝修設計施工合同
- 軟件開發(fā)合同規(guī)范
- 歷史兼職教師招聘協(xié)議樣本
- 工業(yè)倉房租賃合同
- 塑膠保溫施工合同
- 衢州市親子活動中心租賃合同
- 三年級下學期科學教學工作總結
- 2024年社區(qū)警務規(guī)范考試題庫
- 2024年7月國家開放大學法學本科《知識產(chǎn)權法》期末考試試題及答案
- 建設工程計價-001-國開機考復習資料
- 2022年全國應急普法知識競賽試題庫大全-中(多選題庫-共2部分-1)
- 神經(jīng)病學運動系統(tǒng)
- 妊娠合并甲減的護理
- 鋼管支撐強度及穩(wěn)定性驗算
- GB/T 5534-2024動植物油脂皂化值的測定
- 幼兒園手足口病教師培訓
- 超市安保人員工作管理制度
評論
0/150
提交評論