EDA八段動(dòng)態(tài)數(shù)碼管顯示設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第1頁(yè)
EDA八段動(dòng)態(tài)數(shù)碼管顯示設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第2頁(yè)
EDA八段動(dòng)態(tài)數(shù)碼管顯示設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第3頁(yè)
已閱讀5頁(yè),還剩8頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、2012年11月8日目錄EDA技術(shù)及應(yīng)用課程設(shè)計(jì)任務(wù)書3實(shí)驗(yàn)?zāi)康?5設(shè)計(jì)要求 5實(shí)驗(yàn)設(shè)備 5掃描原理 5設(shè)計(jì)任務(wù) 6實(shí)驗(yàn)程序 6時(shí)序仿真波形圖 7仿真結(jié)果 8模擬電路 8設(shè)計(jì)總結(jié) 8 課程設(shè)計(jì)評(píng)分表EDA技術(shù)及應(yīng)用課程設(shè)計(jì)任務(wù)書一、設(shè)計(jì)題目動(dòng)態(tài)數(shù)碼管顯示設(shè)計(jì)二、設(shè)計(jì)主要內(nèi)容本課題要求掌握使用Quartus II設(shè)計(jì)數(shù)字系統(tǒng)的設(shè)計(jì)思路和設(shè)計(jì)方法。學(xué)習(xí) VHDL基本邏輯電路的綜合設(shè)計(jì)應(yīng)用。掌握VHDL語(yǔ)言的語(yǔ)法規(guī)范,掌握時(shí)序電 路描述方法。掌握多個(gè)數(shù)碼管動(dòng)態(tài)掃描顯示的原理及設(shè)計(jì)方法。設(shè)計(jì)一個(gè)八位數(shù)碼管共陰極動(dòng)態(tài)掃描顯示控制電路, 要求顯示學(xué)生自己的學(xué) 號(hào)。利用實(shí)驗(yàn)室設(shè)備完成系統(tǒng)設(shè)計(jì)并進(jìn)行運(yùn)行調(diào)試。

2、1、具體設(shè)計(jì)內(nèi)容如下:(1 )靜止顯示學(xué)號(hào);(2)動(dòng)態(tài)循環(huán)顯示學(xué)號(hào)。2、提供設(shè)計(jì)報(bào)告,報(bào)告要求包括以下內(nèi)容:設(shè)計(jì)思路、設(shè)計(jì)輸入文件、設(shè)計(jì) 與調(diào)試過程、模擬仿真結(jié)果和設(shè)計(jì)結(jié)論。三、原始資料1、LED顯示模塊原理LED有段碼和位碼之分,所謂段碼就是讓 LED顯示出8.”的八位數(shù)據(jù),一般情況下要通過一個(gè)譯碼電路,將輸入的 4位2進(jìn)制數(shù)轉(zhuǎn)換為與LED顯示對(duì)應(yīng)的8位段碼。位碼也就是LED的顯示使能端,對(duì)于共陽(yáng)級(jí)的LED而言,高電平 使能。要讓8個(gè)LED同時(shí)工作,顯示數(shù)據(jù),就是要不停的循環(huán)掃描每一個(gè) LED , 并在使能每一個(gè)LED的同時(shí),輸入所需顯示的數(shù)據(jù)對(duì)應(yīng)的 8位段碼。雖然8個(gè) LED是依次顯示,但

3、是受視覺分辨率的影響,看到的現(xiàn)象是8個(gè)LED同時(shí)工作。多個(gè)數(shù)碼管動(dòng)態(tài)掃描顯示,是將所有數(shù)碼管的相同段并聯(lián)在一起, 通過選通 信號(hào)分時(shí)控制各個(gè)數(shù)碼管的公共端,循環(huán)點(diǎn)亮多個(gè)數(shù)碼管,并利用人眼的視覺暫 留現(xiàn)象,只要掃描的頻率大于 50Hz,將看不到閃爍現(xiàn)象。2、系統(tǒng)結(jié)構(gòu)圖信號(hào)名與芯片引腳對(duì)照表硬件資源元件引腳EP3C引腳序號(hào)電路使用說明LED數(shù)碼顯示A133該部分電路為固定 電路。使用LED數(shù)碼顯示時(shí)請(qǐng)按照器件引腳 分配表進(jìn)行引腳分 配后再下載到芯片 中。B135C136D137E138F141G142Dp12874LS138S1125S2126S31273、課程設(shè)計(jì)使用設(shè)備(1)EDA及SOPC綜

4、合實(shí)驗(yàn)平臺(tái);(2)導(dǎo)線若干;(3)PC 機(jī);(4)Quartus II開發(fā)工具軟件。四、要求的設(shè)計(jì)成果(1 )根據(jù)控制要求設(shè)計(jì)硬件電路原理圖。(2)編寫用于系統(tǒng)仿真的VHDL源程序。(3 )系統(tǒng)仿真及驗(yàn)證結(jié)果。(4)編寫EDA技術(shù)及應(yīng)用課程設(shè)計(jì)報(bào)告,課程設(shè)計(jì)報(bào)告內(nèi)容包括: 設(shè)計(jì)方案、課程設(shè)計(jì)過程和設(shè)計(jì)思想、方法、原理。 畫出系統(tǒng)的原理圖。 VHDL語(yǔ)言程序及仿真波形。 參考資料、參考書及參考手冊(cè)。 其他需要說明的問題,例如操作說明、程序的調(diào)試過程、遇到的問題及解決方法、對(duì)課程設(shè)計(jì)的認(rèn)識(shí)和建議等。 可編程控制器技術(shù)課程設(shè)計(jì)報(bào)告可以手寫,也可以用電腦編排打印, 報(bào)告格式按照華中科技大學(xué)武昌分校課程

5、設(shè)計(jì)管理辦法 執(zhí)行。課程設(shè)計(jì)報(bào)告 要求內(nèi)容正確完整,圖表清晰,敘述簡(jiǎn)明,語(yǔ)句通順,字?jǐn)?shù)不得少于2000漢字。 課程設(shè)計(jì)報(bào)告按封面、任務(wù)書、設(shè)計(jì)說明書、圖紙、實(shí)物照片貼頁(yè)(實(shí)物 照片貼在A4復(fù)印紙上)、成績(jī)?cè)u(píng)定表的順序裝訂。、實(shí)驗(yàn)?zāi)康?. 了解實(shí)驗(yàn)箱中8位七段數(shù)碼管顯示模塊的工作原理。2. 熟悉VHDL硬件描述語(yǔ)言及設(shè)計(jì)專用數(shù)字集成電路的自頂向下的設(shè)計(jì) 思想。3. 掌握利用CPLD/FPGA設(shè)計(jì)8位七段數(shù)碼管掃描顯示驅(qū)動(dòng)電路的方 法。二、設(shè)計(jì)要求(1) 分析設(shè)計(jì)要求,明確性能指標(biāo)。必須仔細(xì)分析課題要求,性能,指標(biāo)及 應(yīng)用環(huán)境等,廣開思路,構(gòu)思出各種總體方案,繪制結(jié)構(gòu)框圖。(2) 確定合理的總體方

6、案,對(duì)各種方案進(jìn)行比較,以電路的先進(jìn)性,結(jié)構(gòu)的繁簡(jiǎn),成本的高低及制作的難易等方面作綜合比較,并考慮器件的來(lái)源,敲定可(3) 設(shè)計(jì)各單元電路??傮w方案化整為零,分解成若干自行同或單元電路,逐個(gè)設(shè)計(jì)。(4) 組成系統(tǒng)。在一定幅面的圖紙上合理布局,通常是按照信號(hào)的流向,采用左進(jìn)右出的規(guī)律擺放各電路,并標(biāo)出表的說明。技術(shù)指標(biāo):(1) 發(fā)光強(qiáng)度比由于數(shù)碼管各段在同樣的驅(qū)動(dòng)電壓時(shí),各段正向電流不相同,所以各段發(fā)光強(qiáng)度不同,所有段的發(fā)光強(qiáng)度值中最大值與最小值之比為發(fā)光強(qiáng)度比。比值可以再1.5-2.3間,最大不能超過2.5.(2) 脈沖正向電流若筆畫顯示器每段典型正向直流工作電流為 A,則在脈沖下,正向電流可

7、以遠(yuǎn)大于A。脈沖占空比越小,脈沖正向電流可以越大三、實(shí)驗(yàn)設(shè)備1. 計(jì)算機(jī)(配置為:P4 CPU 128M 內(nèi)存);2. 實(shí)驗(yàn)軟件Quartus n四、掃描原理為了減少8位顯示信號(hào)的接口連接線,實(shí)驗(yàn)箱中的數(shù)碼顯示采用掃描顯示工作模式。即8位數(shù)碼管的七段譯碼輸入(a,b,c,d,e,f,g )是并聯(lián)在一起的,而每個(gè)數(shù)碼管是通過一個(gè)sel位選擇sel2.O來(lái)選定的。sel與數(shù)碼管之間是一 3-8譯碼的關(guān)系,即為0OO”時(shí),選中第一個(gè)數(shù)碼管,sel為111 ”時(shí),選中第八個(gè)數(shù)碼管。五、設(shè)計(jì)任務(wù)本實(shí)驗(yàn)要求在課題范圍內(nèi),自行設(shè)計(jì)出程序,并模擬出電路圖,以實(shí)現(xiàn)在8個(gè)數(shù)碼管上輪流顯示字符0 F的功能。六、實(shí)驗(yàn)

8、程序library ieee;en tity sca n_led isport(clk:i nstd_lo gic;sg:outstd_logic_vector(6 dow nto 0);bt:outstdo gic_vector(7 dow nto 0);end;architecture one of scan_led is signal cnt8:stdogic_vector(2 downto 0); sig nal a: in teger range 0 to 15;beg in p1:process(c nt8)beg in case cnt8 iswhe n"000&quo

9、t;=>bt<="00000001"A<=1;whe n"001"=>bt<="00000010"A<=3;whe n"010"=>bt<="00000100"A<=5;whe n"011"=>bt<="00001000"A<=7;whe n"100"=>bt<="00010000"A<=9;whe n"101&quo

10、t;=>bt<="00100000"A<=11;whe n"110"=>bt<="01000000"A<=13;whe n"111"=>bt<="10000000"A<=15;whe n others=>nu II;end case;end process p1;p2:process(clk)beg in if clk'event and clk='1' then cnt8<= cnt8+1;end if;

11、end process p2;p3:process(a)beg incase a iswhen 0=>sg<="0111111"when 1= >sg<="0000110"when 2=>sg<="1011011"when 3=>sg<="1001111"when 4=>sg<="1100110"when 5=>sg<="1101101"when 6=>sg<="1111101&qu

12、ot;when 7=>sg<="0000111"when 8=>sg<="1111111"when 9=>sg<="1101111"whe n 10=>sg<="1110111"whe n 11=>sg<="1111100"whe n 12=>sg<="0111001"whe n 13=>sg<="1011110"whe n 14=>sg<="1111

13、001"whe n 15=>sg<="0000110"end case;end process p3;end;七、時(shí)序仿真波形圖(1 )打開波形編輯器。選擇“ file"菜單中的” new"項(xiàng),在hew"窗口中選擇” other files “中的Vector waveform file"項(xiàng),打開空白編輯器。(2) 設(shè)置時(shí)間仿真區(qū)域。將仿真時(shí)間設(shè)置在一個(gè)比較合理的時(shí)間區(qū)域。選擇edit”菜單中的end time. ”項(xiàng),在彈出的窗口中的time"欄處輸入100,單位 選擇ms:將整個(gè)仿真區(qū)域的時(shí)間設(shè)為1

14、0ms,單擊ok”按鈕,結(jié)束設(shè)置。(3) 編輯輸入波形。單擊選中波形編輯窗口的時(shí)鐘信號(hào)名“ clk1 ”使之變成 藍(lán)色條,再單擊左列的時(shí)鐘設(shè)置鍵,打開窗口,將“ clk ”的周期設(shè)定為0.5ms : phase ”相位設(shè)為默認(rèn)為零,duty cycle ”占空比設(shè)為默認(rèn)值50%。(4) 設(shè)定數(shù)據(jù)模式。單擊信號(hào)“ light ”旁邊的+”號(hào),可以打開信號(hào)的各個(gè)分 量,查看信號(hào)的每一位。如果雙擊“ +”號(hào)左邊的信號(hào)標(biāo)記,可以打開該信號(hào)格式 設(shè)置的對(duì)話框。(5) 波形文件存盤。選擇“ file ”菜單下的save ”命令,或直接單擊工具欄上 的按鈕即可。(6) 仿真器參數(shù)設(shè)計(jì)。選擇“ assignm

15、ent ”菜單下的setting?!表?xiàng),在 setting ”窗口 中左側(cè)category ”欄中選擇simulator ”項(xiàng),打開窗口,在siumlation mode ”項(xiàng)目下選擇仿真激勵(lì)文件“ight.vwf ”在simulation period ”欄中選擇rbn simulation until all vector stimuli are use ” 即全程仿真;確認(rèn)選中 “simulation coverage report ing ”毛刺檢測(cè) glitch detecti on ”為us ;功耗估算 gen erate sig nal activity file ” 把所有的參數(shù)都設(shè)定好了之后,就可以觀察相關(guān)的波形了八、仿真結(jié)果, WT-4-iIWdwr I |齒 Rs7 Enfl<q!n«ErdAie g g m殆 a q exSJ Du羽tl 0IK.sIB帆AL.5ujLi«:iOiilCffiLjjA*4>rn_/ in肋nonormiv門1XHII1I'ilLimim比T外cc>九、模擬電路十、設(shè)計(jì)總結(jié)這次課程設(shè)計(jì)上網(wǎng)查的資料比較多, 所以我覺得這次設(shè)計(jì)做起來(lái)比較順手,

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論