電子設計競賽培訓數(shù)字電路ppt課件_第1頁
電子設計競賽培訓數(shù)字電路ppt課件_第2頁
電子設計競賽培訓數(shù)字電路ppt課件_第3頁
電子設計競賽培訓數(shù)字電路ppt課件_第4頁
電子設計競賽培訓數(shù)字電路ppt課件_第5頁
已閱讀5頁,還剩77頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、電子設計競賽培訓電子設計競賽培訓數(shù)字電路數(shù)字電路黃正華2019年8月1.組合邏輯電路2.鎖存器與觸發(fā)器3.時序邏輯電路4.脈沖波形的變換與產(chǎn)生5.EDA設計一、組合電路的特點一、組合電路的特點= F0I0、I1, In - 1= F1I0、I1, In - 1= F1I0、I1, In - 1)( )(nntIFtY 1. 邏輯功能特點邏輯功能特點 電路在任何時辰的輸出形狀只取決于該時辰的輸入電路在任何時辰的輸出形狀只取決于該時辰的輸入 形狀,而與原來的形狀無關。形狀,而與原來的形狀無關。2. 電路構(gòu)造特點電路構(gòu)造特點(1) 輸出、輸入之間沒有反響延遲電路輸出、輸入之間沒有反響延遲電路(2)

2、不包含記憶性元件不包含記憶性元件(觸發(fā)器觸發(fā)器),僅由門電路構(gòu)成,僅由門電路構(gòu)成I0I1In-1Y0Y1Ym-1組合邏輯組合邏輯電路電路1.組合邏輯電路二、組合電路邏輯功能表示方法二、組合電路邏輯功能表示方法真值表,卡諾圖,邏輯表達式,時間圖真值表,卡諾圖,邏輯表達式,時間圖(波形圖波形圖)三、組合電路分類三、組合電路分類1. 按邏輯功能不同:按邏輯功能不同:加法器加法器 比較器比較器 編碼器編碼器 譯碼器譯碼器 數(shù)據(jù)選擇器和分配器數(shù)據(jù)選擇器和分配器 只讀存儲器只讀存儲器2. 按開關元件不同:按開關元件不同:CMOS TTL3. 按集成度不同:按集成度不同:SSI MSI LSI VLSI典型

3、組合邏輯集成電路真值表,功能表編碼器:2n n普通編碼器/優(yōu)先編碼器使能端CD4532: 83線優(yōu)先編碼器譯碼器/數(shù)據(jù)分配器: n 2n譯碼器擴展:正確設置使能端邏輯函數(shù)用譯碼器實現(xiàn):譯碼器輸出端為輸入函數(shù)最小項74X:24線譯碼器74X:38線譯碼器數(shù)據(jù)選擇器MUX地址選擇端n、輸入數(shù)據(jù)源端 2n ,輸出端單/互補數(shù)據(jù)選擇器擴展邏輯函數(shù)發(fā)生器:類似譯碼器數(shù)據(jù)選擇器輸出端為地址選擇端最小項與各輸入數(shù)據(jù)源端乘積之和74HC151:地址選擇端3、數(shù)據(jù)源端 8數(shù)值比較器3個輸出端:FAB,F(xiàn)AM進位控制4. 脈沖波形的變換與產(chǎn)生脈沖波形的變換與產(chǎn)生1.單穩(wěn)態(tài)觸發(fā)器特點單穩(wěn)態(tài)觸發(fā)器只需一個穩(wěn)態(tài),還有一

4、個暫穩(wěn)態(tài),在沒有觸發(fā)信號作用時處于穩(wěn)定形狀 在外來觸發(fā)信號作用下,電路由穩(wěn)態(tài)翻轉(zhuǎn)到暫穩(wěn)態(tài)暫穩(wěn)態(tài)維持一定時間后,電路自動回到穩(wěn)態(tài),暫穩(wěn)態(tài)維持一定時間的長短,取決于電路本身的RC參數(shù)波形圖:輸出脈沖寬度tw0.7RC74121:不可反復觸發(fā)單穩(wěn)態(tài)觸發(fā)器MC14528:可反復觸發(fā)單穩(wěn)態(tài)觸發(fā)器運用:定時、延時、噪聲消除2.施密特觸發(fā)器特點施密特觸發(fā)器屬于電平觸發(fā)器件,當輸入信號到達某一定電壓值時,輸出電壓會發(fā)生突變閾值電壓 正向閾值電壓 ( VT+ ):輸入信號添加負向閾值電壓 ( VT-):輸入信號減少回差電壓VVT+VT-兩種輸出方式:同相輸出和反相輸出任務波形、傳輸特性門電路構(gòu)成施密特觸發(fā)器VT

5、+ 、VT-、VCD40106:集成施密特觸發(fā)器3.多諧振蕩器特點多諧振蕩器又稱矩形波發(fā)生器,無穩(wěn)定形狀,有兩個暫穩(wěn)態(tài),電路一旦起振,兩個暫穩(wěn)態(tài)就交替變化,不停地輸出矩形脈沖信號。根本組成:開關器件,RC門電路構(gòu)成多諧振蕩器t1.4RC施密特觸發(fā)器構(gòu)成多諧振蕩器3. 555定時器構(gòu)成:分壓器、電壓比較器、SR鎖存器、放電三極管、緩沖器各引腳與電路對應關系運用施密特觸發(fā)器單穩(wěn)態(tài)觸發(fā)器多諧振蕩器5.EDA設計2、編碼器 設計一個 8 輸入優(yōu)先級編碼器,y0 級別最低,y7 級別最高;輸出為3位編碼。3、譯碼器 譯碼器是編碼器的逆過程。如 3-8 譯碼器:譯碼輸出低有效4、加法器 帶進位的 4位加法

6、器符號如下:方法1:用for loop語句實現(xiàn) 方法2:直接運用加法“+函數(shù):加法器仿真結(jié)果:5、多路選擇器 前面用 if 語句、case 語句、條 件賦值語句、選擇賦值語句分別描 述過 4 選 1 選擇器。6、三態(tài)門及總線緩沖器 VHDL言語經(jīng)過指定大寫的 Z 值表示高阻形狀 a : std_logic; a_bus : std_logic_vector(7 downto 0); 指定高阻形狀如下: a = Z ; a_bus = “ZZZZZZZZ ;1三態(tài)門電路描畫三態(tài)門仿真結(jié)果:2單向總線緩沖器3雙向總線緩沖器二、常用時序電路設計1、觸發(fā)器Flip_Flop 1D觸發(fā)器異步置位/復位D觸發(fā)器同步復位D觸發(fā)器比較:異步置位的鎖存器Latch2、存放器 8位串行輸入、串行輸出移位存放器:8位移位存放器描畫構(gòu)造描畫8位移位存放器直接用信號銜接描畫移位存放器仿真結(jié)果:帶允許端的十二進制計數(shù)器 可逆計數(shù)器加減計數(shù)器可逆計數(shù)器仿真結(jié)果:例:六十進制分、秒計數(shù)器60進制計數(shù)器仿真結(jié)果:例:由8個觸發(fā)器構(gòu)成的行波計數(shù)器: 根本元件 dffr 的描畫:采用元件例化描畫8位行波計數(shù)器:8 位行波計數(shù)器仿真結(jié)果:摩爾形狀機的摩爾形狀機的VHDL設計設計摩爾型形狀機的輸出僅與當前形狀有關 次態(tài) 邏輯 形狀 存放器 次態(tài) 邏輯復位信號時鐘信號輸入次態(tài)當前形狀輸

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論