![FPGA試卷+答案+超詳細(xì)解答_第1頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/7/187dc929-2dea-43c8-95ad-6ed9ca1c378e/187dc929-2dea-43c8-95ad-6ed9ca1c378e1.gif)
![FPGA試卷+答案+超詳細(xì)解答_第2頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/7/187dc929-2dea-43c8-95ad-6ed9ca1c378e/187dc929-2dea-43c8-95ad-6ed9ca1c378e2.gif)
![FPGA試卷+答案+超詳細(xì)解答_第3頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/7/187dc929-2dea-43c8-95ad-6ed9ca1c378e/187dc929-2dea-43c8-95ad-6ed9ca1c378e3.gif)
![FPGA試卷+答案+超詳細(xì)解答_第4頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/7/187dc929-2dea-43c8-95ad-6ed9ca1c378e/187dc929-2dea-43c8-95ad-6ed9ca1c378e4.gif)
![FPGA試卷+答案+超詳細(xì)解答_第5頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/7/187dc929-2dea-43c8-95ad-6ed9ca1c378e/187dc929-2dea-43c8-95ad-6ed9ca1c378e5.gif)
版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 電子與信息學(xué)院 10應(yīng)用電子技術(shù)教育2班 裝 訂 線 考 生 答 題 不 得 超 過(guò) 此 線 2020學(xué)年度第()學(xué)期期末考試試卷科目:<<FPGA設(shè)計(jì)>>()卷考試形式:閉卷 考試時(shí)間:100 分鐘院(系)別、班級(jí): 姓名: 學(xué)號(hào): 電子與信息學(xué)院 20.考試試卷(含答題紙、試題紙、草稿紙的裝訂試卷不能分拆)題 目一二三四五六七八總分標(biāo)準(zhǔn)分?jǐn)?shù)2030201020 100實(shí)得分?jǐn)?shù)評(píng)卷人 試題區(qū):(試題區(qū)必須與答題區(qū)同時(shí)交回,含答題紙、試題紙、草稿紙的裝訂試卷不能分拆)一、單項(xiàng)選擇題:(20分)1 在VHDL語(yǔ)言中,下列對(duì)進(jìn)程(PROCESS)語(yǔ)句的語(yǔ)句結(jié)構(gòu)及語(yǔ)法規(guī)則的
2、描述中,不正確的是_C_。A. PROCESS為一無(wú)限循環(huán)語(yǔ)句;敏感信號(hào)發(fā)生更新時(shí)啟動(dòng)進(jìn)程,執(zhí)行完成后,等待下一次進(jìn)程啟動(dòng)。B. 敏感信號(hào)參數(shù)表中,不一定要列出進(jìn)程中使用的所有輸入信號(hào);C. 進(jìn)程由說(shuō)明部分、結(jié)構(gòu)體部分、和敏感信號(hào)三部分組成;(進(jìn)程由聲明語(yǔ)句、順序語(yǔ)句、敏感信號(hào)列表組成)D. 當(dāng)前進(jìn)程中聲明的變量不可用于其他進(jìn)程。2 在一個(gè)VHDL設(shè)計(jì)中idata是一個(gè)信號(hào),數(shù)據(jù)類(lèi)型為integer,數(shù)據(jù)范圍0 to 127,下面哪個(gè)賦值語(yǔ)句是正確的_C_。(信號(hào)賦值符號(hào) <= )A. idata := 32;B. idata <= 16#A0#; (十進(jìn)制數(shù)為:10*16= 16
3、0,idata范圍為0127)C. idata <= 16#7#E1;(十進(jìn)制數(shù)為:7*161= 112)D. idata := B#1010#;3 大規(guī)??删幊唐骷饕蠪PGA、CPLD兩類(lèi),下列對(duì)FPGA結(jié)構(gòu)與工作原理的描述中,正確的是_C_。A. FPGA是基于乘積項(xiàng)結(jié)構(gòu)的可編程邏輯器件;(FPGA芯片基于查找表的可編程邏輯結(jié)構(gòu))B. FPGA是全稱(chēng)為復(fù)雜可編程邏輯器件;(FPGA 現(xiàn)場(chǎng)可編程邏輯門(mén)陣列,CPLD才是 復(fù)雜可編程邏輯器件)C. 基于SRAM的FPGA器件,在每次上電后必須進(jìn)行一次配置;D. 在Altera公司生產(chǎn)的器件中,MAX7000系列屬FPGA結(jié)構(gòu)。(MAX
4、7000系列屬CPLD結(jié)構(gòu))4 進(jìn)程中的變量賦值語(yǔ)句,其變量更新是_A_。(變量(variable)是立即完成的,信號(hào)(signal)有延時(shí))A. 立即完成;B. 按順序完成;C. 在進(jìn)程的最后完成; D.都不對(duì)。5 VHDL語(yǔ)言是一種結(jié)構(gòu)化設(shè)計(jì)語(yǔ)言;一個(gè)設(shè)計(jì)實(shí)體(電路模塊)包括實(shí)體與結(jié)構(gòu)體兩部分,結(jié)構(gòu)體描述_D_。(結(jié)構(gòu)體實(shí)現(xiàn) 實(shí)體的功能,通過(guò)對(duì)實(shí)體的邏輯功能進(jìn)行描述)A. 器件外部特性;B. 器件的綜合約束;C. 器件外部特性與內(nèi)部功能;D. 器件的內(nèi)部功能。6 CASE語(yǔ)句是_A_。(case語(yǔ)句時(shí)順序語(yǔ)句中最重要最常用的順序語(yǔ)句)A. 順序語(yǔ)句 B. 并行語(yǔ)句 C. 其它 D.組合邏輯
5、控制電路語(yǔ)句7 LIBRARY _A_; -庫(kù)的聲明USE IEEE.STD_LOGIC_1164.ALL; -庫(kù)的引用,引用IEEE庫(kù)中的std_logic_1164中的所有項(xiàng)目A. IEEE B. STD C.WORK D.ENTITY counter IS8 下列標(biāo)識(shí)符中,_B_是不合法的標(biāo)識(shí)符。(標(biāo)識(shí)符開(kāi)頭必須是英文字符)A. State0B. 9moonC. Not_Ack_0D. signall9 關(guān)于VHDL中的數(shù)字,請(qǐng)找出以下數(shù)字中最大的一個(gè):_A_。(整型數(shù)字的表示)
6、裝 訂 線 考 生 答 題 不 得 超 過(guò) 此 線 A. 2#1111_1110# -二進(jìn)制數(shù),下劃線不影響數(shù)值大小,只是增強(qiáng)可讀性,轉(zhuǎn)換成10進(jìn)制數(shù)為:254B. 8#276# -八進(jìn)制數(shù),轉(zhuǎn)換成十進(jìn)制為:190C. 10#170# -十進(jìn)制數(shù):170D. 16#E#E1 -十六進(jìn)制數(shù),E為十進(jìn)制14,后面的E1是指14乘以相應(yīng)進(jìn)制數(shù)的1次方,轉(zhuǎn)換成十進(jìn)制為:14*161=22410下列EDA軟件中,哪一個(gè)不具有邏輯綜合功能:_B_。 (邏輯功能 簡(jiǎn)單點(diǎn)說(shuō) 就是利用軟件使我們用語(yǔ)言設(shè)計(jì)的電路優(yōu)化成最簡(jiǎn)邏輯,相當(dāng)于 我們數(shù)電的 最簡(jiǎn)與或式)A. Max+Plus II (Quartus II的
7、舊版本)B. ModelSim (專(zhuān)業(yè)的仿真軟件,時(shí)序和功能仿真,不具備 邏輯綜合功能)C. Quartus II (自帶)Synplify (專(zhuān)業(yè)的邏輯綜合工具)二.簡(jiǎn)答題30分(每題5分)。1根據(jù)下面的VHDL語(yǔ)句,描述出相應(yīng)的電路原理圖。LIBRARYieee; USEieee.std_logic_1164.ALL; USEieee.std_logic_unsigned.ALL; ENTITYcfq_1 IS PORT(d,cp:INstd_logic; q,nq:OUT std_logic); ENDcfq_1;- ENDar_4; -老師的題目錯(cuò)誤,結(jié)束實(shí)體,這句應(yīng)該放在最后。ARC
8、HITECTURE ar_4 OFcfq_1 ISBEGINPROCESS (CP)BEGINIFcp=1THENq <= d;nq <=NOT d;ENDIF;ENDPROCESS;END ar_4; -修改后,結(jié)束實(shí)體END ar_4應(yīng)該在這個(gè)位置。自己用Quartus II軟件生成的,筆試的時(shí)候應(yīng)該用筆來(lái)畫(huà),元件名應(yīng)與實(shí)體名一致cfq_1。2quartus開(kāi)發(fā)工具為設(shè)計(jì)者提供了哪些庫(kù)?各有什么功能?(摘自FPGA系統(tǒng)設(shè)計(jì)與實(shí)例P32)1) IEEE庫(kù) :被IEEE采用的標(biāo)準(zhǔn)化庫(kù),是VHDL設(shè)計(jì)中最重要的庫(kù);2) STD庫(kù) :所用設(shè)計(jì)單元所共享,默認(rèn)的庫(kù);3) VITAL庫(kù):提
9、高VHDL門(mén)級(jí)時(shí)序模擬的精度;4) WORK庫(kù) :用戶(hù)的VHDL 設(shè)計(jì)的現(xiàn)行工作庫(kù)用于存放用戶(hù)設(shè)計(jì)和定義的一些設(shè)計(jì)單元和程序包5) 用戶(hù)自定義庫(kù) :設(shè)計(jì)者自己建立的設(shè)計(jì)單元資源庫(kù)。3quartus開(kāi)發(fā)工具,圖形文件的擴(kuò)展名是 ? 波形文件的擴(kuò)展名是 ?使用VHDL語(yǔ)言,文本設(shè)計(jì)文件的擴(kuò)展名是?圖形文件擴(kuò)展名:gdf;波形文件擴(kuò)展名:vwfVHDL文本設(shè)計(jì)文件擴(kuò)展名:vhd4簡(jiǎn)述FPGA的結(jié)構(gòu)FPGA由可編程邏輯塊(CLB)、輸入/輸出模塊(IOB)及可編程互連資源(PIR)等三種可編程電路和一個(gè)SRAM結(jié)構(gòu)的配置存儲(chǔ)單元組成。1)可編程邏輯塊(CLB):主要由邏輯函數(shù)發(fā)生器、觸發(fā)器、數(shù)據(jù)選擇器
10、等電路組成。2)輸入/輸出模塊(IOB):主要由輸入觸發(fā)器、輸入緩沖器和輸出觸發(fā)/鎖存器、輸出緩沖器組成,每個(gè)IOB控制一個(gè)引腳,它們可被配置為輸入、輸出或雙向I/O功能。3)可編程互連資源(PIR):由許多金屬線段構(gòu)成,這些金屬線段帶有可編程開(kāi)關(guān),通過(guò)自動(dòng)布線實(shí)現(xiàn)各種電路的連接。實(shí)現(xiàn)FPGA內(nèi)部的CLB和CLB之間、CLB和IOB之間的連接。5什么叫功能仿真?什么叫時(shí)序仿真?、兩者有什么區(qū)別?功能仿真又稱(chēng)前仿真,是在不考慮器件延時(shí)的理想情況下的一種項(xiàng)目驗(yàn)證方法,通過(guò)功能仿真來(lái)驗(yàn)證一個(gè)項(xiàng)目的邏輯功能是否正確。時(shí)序仿真又稱(chēng)模擬仿真或后仿真,是在考慮設(shè)計(jì)項(xiàng)目具體適配器件的各種延時(shí)的情況下的一種項(xiàng)目
11、驗(yàn)證方法。時(shí)序仿真不僅測(cè)試邏輯功能,還測(cè)試目標(biāo)器件最差情況下的時(shí)間關(guān)系。注:功能仿真無(wú)延時(shí)(驗(yàn)證邏輯是否正確 時(shí)用),時(shí)序仿真有延時(shí)(仿真出實(shí)實(shí)在在的芯片工作波形,實(shí)際芯片是有延時(shí)的)。6名詞解釋?zhuān)瑢?xiě)出下列縮寫(xiě)的中文(或者英文)含義:1. VHDL 超高速集成電路硬件描述語(yǔ)言 (Very-High-Speed Integrated Circuit HardwareDescription Language)2. FPGA 現(xiàn)場(chǎng)可編程邏輯門(mén)陣列 (FieldProgrammable Gate Array)3. RTL 寄存器傳輸級(jí) (Register-Transfer Level)4. SOPC
12、可編程片上系統(tǒng) (System-on-a-Programmable-Chip)5. EAB 嵌入式陣列塊 (Embedded Array Block)6. LAB 邏輯陣列塊 (Logic Array Block)三、判斷下列程序是否有錯(cuò)誤,如有則指出錯(cuò)誤所在,并給出完整程序。(20分)下列程序是用VHDL語(yǔ)言編寫(xiě)的上升沿控制的D觸發(fā)器(原理圖如下)library ieee; use ieee.std_logic_unsigned.all; _use IEEE.std_logic_1164.all;_ -此D觸發(fā)器沒(méi)用到無(wú)符號(hào)算術(shù)運(yùn)算,所以不用std_logic_unsigned 庫(kù)。 ent
13、ity dff1 is port(clk,d:in std_logic; Q:out std_logic) _Q:out std_logic) ;_ -少了個(gè) “;” 號(hào)end dff1; architecture one of d is _architecure one of dff1 is_ -實(shí)體名不正確,dff1begin process _process(clk)_ -VHDL中大小寫(xiě)無(wú)影響begin if clk ='1' _if (clkevent and clk=1) then _ -題目要求是上升沿控制的D觸發(fā)器 then Q<=d; _Q <=
14、d; _ -then 一般緊接if語(yǔ)句 end if; end process; end d; _end one;_ -結(jié)構(gòu)體名不正確,one 四、VHDL程序填空:(10分)下面程序是參數(shù)可定制帶計(jì)數(shù)使能異步復(fù)位計(jì)數(shù)器的VHDL描述,試補(bǔ)充完整。程序- N-bit Up Counter with Load, Count Enable, and- Asynchronous Resetlibrary ieee;use IEEE.std_logic_1164.all;use IEEE._ std_logic_unsigned _.all;use IEEE.std_logic_arith.all;e
15、ntity counter_n is_generic_ (width : integer := 8);port(data : in std_logic_vector (width-1 downto 0);load, en, clk, rst : _in_ std_logic;q : out std_logic_vector (_width-1_ downto 0);end counter_n;architecture behave of _ counter_n _ issignal count : std_logic_vector (width-1 downto 0);beginprocess
16、(clk, rst)beginif rst = '1' thencount <= _ (others => 0) _; 清零 -others=>0是比較專(zhuān)業(yè)的寫(xiě)法,可以 count <= “00000000”;,8位標(biāo)準(zhǔn)向量,直接每一位給0;elsif _(clkevent and clk=1) _ then 邊沿檢測(cè)if load = '1' thencount <= data;_elsif_ en = '1' thencount <= count + 1;_ end if _;end if;end proc
17、ess;_ q <= count; _end behave;注:由于是電子稿,應(yīng)注意下劃線。library ieee;use IEEE.std_logic_1164.all;use IEEE._ std_logic_unsigned _.all; -計(jì)數(shù)器涉及運(yùn)算賦值,所以需要聲明引用unsigned程序包use IEEE.std_logic_arith.all;entity counter_n is_generic_ (width : integer := 8); -generic 常量,宏定義一個(gè)數(shù)值為整數(shù)8的width,相當(dāng)于c語(yǔ)言的宏定義port(data : in std_lo
18、gic_vector (width-1 downto 0);load, en, clk, rst : _in_ std_logic; -都是輸入信號(hào),需要用in,之前寫(xiě)錯(cuò)了。q : out std_logic_vector (_width-1_ downto 0); -需要用到8位標(biāo)準(zhǔn)邏輯向量,計(jì)算機(jī)是0為第一位的,所以7到0有8位,width-1=8-1=7;end counter_n;architecture behave of _ counter_n _ is -結(jié)構(gòu)體,of后 緊跟 實(shí)體名signal count : std_logic_vector (width-1 downto 0
19、); -定義一個(gè)8位的信號(hào)量,用于中間計(jì)數(shù)運(yùn)算,每一次計(jì)數(shù)得到的值賦給 端口q;beginprocess(clk, rst) beginif rst = '1' thencount <= _ (others => 0) _; 清零 -others=>0是比較專(zhuān)業(yè)的寫(xiě)法,可以 count <= “00000000”;,8位字符串,直接每一位給0;elsif _(clkevent and clk=1) _ then 邊沿檢測(cè)if load = '1' thencount <= data;_elsif_ en = '1'
20、thencount <= count + 1;_ end if _;end if;end process;_ q <= count; _ -將count運(yùn)算得到的值,直接賦值個(gè)端口q,端口是不能進(jìn)行運(yùn)算,只能用于賦值。end behave;四、編程序(20分)1.已知電路原理圖如下,請(qǐng)用VHDL語(yǔ)言編寫(xiě)其程序VHDL程序設(shè)計(jì):(15分)設(shè)計(jì)一數(shù)據(jù)選擇器MUX,其系統(tǒng)模塊圖和功能表如下圖所示。試采用下面三種方式中的兩種來(lái)描述該數(shù)據(jù)選擇器MUX的結(jié)構(gòu)體。(a)用if語(yǔ)句 (b)用 case 語(yǔ)句 (c)用when else語(yǔ)句-庫(kù)引用-library IEEE;use IEEE.std
21、_logic_1164.all;-實(shí)體聲明-entity myMux isport (sel:in std_logic_vector(1 downto 0); -選擇信號(hào)輸入 兩位 標(biāo)準(zhǔn)邏輯向量Ain, Bin:in std_logic_vector(1 downto 0); -數(shù)據(jù)輸入 兩位 標(biāo)準(zhǔn)邏輯向量Cout :out std_logic_vector(1 downto 0) -數(shù)據(jù)輸出);end myMux;-結(jié)構(gòu)體behave描述-architecture behave of myMux is -采用case語(yǔ)句描述beginprocess(sel, Ain, Bin)beginca
22、se sel iswhen "00" => Cout <= Ain or Bin;when "01" => Cout <= Ain xor Bin;when "10" => Cout <= Ain and Bin;when "11" => Cout <= Ain nor Bin;when others => Cout <= "XX"end case;end process;end behave;-結(jié)構(gòu)體behave2描述-archite
23、cture behave2 of myMux is -采用when else語(yǔ)句描述beginCout <= Ain or Bin when sel="00" else Ain xor Bin when sel="01" else Ain and Bin when sel="10" else Ain not Bin when sel="11" else "XX" when sel=others ;end behave2;注:這里我只寫(xiě)了 case語(yǔ)句和when else語(yǔ)句,if語(yǔ)句沒(méi)寫(xiě),并且我這是自己寫(xiě)的,與葉深 上傳的有點(diǎn)區(qū)別
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 人事檔案管理服務(wù)合同
- 高層住宅窗戶(hù)防盜性能規(guī)范協(xié)議
- 2025年項(xiàng)目管理外包服務(wù)協(xié)議
- 2025年商務(wù)中心租憑協(xié)議樣本
- 2025年口腔服務(wù)機(jī)構(gòu)協(xié)作協(xié)議
- 2025年公寓室內(nèi)設(shè)計(jì)委托協(xié)議
- 上海工商股權(quán)變更合同
- 個(gè)人股份贈(zèng)與合同樣本
- 產(chǎn)品分銷(xiāo)合同協(xié)議書(shū)
- CNC機(jī)床加工業(yè)務(wù)合同范本
- 中國(guó)儲(chǔ)備糧管理集團(tuán)有限公司蘭州分公司招聘筆試真題2024
- 第1課 隋朝統(tǒng)一與滅亡 課件(26張)2024-2025學(xué)年部編版七年級(jí)歷史下冊(cè)
- 提高金剛砂地坪施工一次合格率
- 【歷史】唐朝建立與“貞觀之治”課件-2024-2025學(xué)年統(tǒng)編版七年級(jí)歷史下冊(cè)
- 產(chǎn)業(yè)園區(qū)招商合作協(xié)議書(shū)
- 2024年廣東省公務(wù)員錄用考試《行測(cè)》真題及答案解析
- 2025新譯林版英語(yǔ)七年級(jí)下單詞默寫(xiě)表
- 盾構(gòu)標(biāo)準(zhǔn)化施工手冊(cè)
- 天然氣脫硫完整版本
- 中歐班列課件
- 2025屆高三數(shù)學(xué)一輪復(fù)習(xí)備考經(jīng)驗(yàn)交流
評(píng)論
0/150
提交評(píng)論