版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、2012-12-13汽車尾燈控制器3. 13汽車尾燈控制器汽車尾燈控制器1汽車尾燈控制器的設計過程1.1系統(tǒng)需求分析根據現(xiàn)代交通規(guī)則,汽車尾燈控制器應滿足以下基本要求:1. 汽車正常使用是指示燈不亮2. 汽車右轉時,右側的一盞燈亮3. 汽車左轉時,左側的一盞燈亮4. 汽車剎車時,左右兩側的指示燈同時亮5. 汽車夜間行駛時,左右兩側的指示燈同時一直亮,供照明使用1.2汽車尾燈控制器的工作原理汽車尾燈控制器就是一個狀態(tài)機的實例。當汽車正常行駛時所有指示燈都不亮;當汽車向右轉彎時,汽車右側的指示燈rd1亮;當汽車向左側轉彎時,汽車左側的指示燈 ld1亮;當汽車剎車時,汽車右側的指示燈rd2和汽車左側
2、的指示燈ld2同時亮;當汽車在夜間行駛時,汽車右側的指示燈 rd3和汽車左側的指示燈Id3同時一直亮。通過設 置系統(tǒng)的輸入信號:系統(tǒng)時鐘信號 clk,汽車左轉彎控制信號left,汽車右轉彎控制信 號right,剎車信號brake,夜間行駛信號night和系統(tǒng)的輸出信號:汽車左側 3盞指 示燈Id1、Id2、Id3和汽車右側3盞指示燈rd1、rd2、rd3實現(xiàn)以上功能。系統(tǒng)的整體組裝設計原理如圖1.1所示圖1.1系統(tǒng)的整體組裝設計原理2012汽車尾燈控制器1.3各組成模塊原理及程序汽車尾燈控制器有4個模塊組成,分別為:時鐘分頻模塊、汽車尾燈主控模塊,左 邊燈控制模塊和右邊燈控制模塊,以下介紹各模
3、塊的詳細設計。1.3.1時鐘分頻模塊整個時鐘分頻模塊的工作框圖如圖1.2所示iclkqIi ii圖1.2時鐘分頻模塊工作框圖時鐘分頻模塊由VHDI程序來實現(xiàn),下面是其 VHDL弋碼:library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity fenpin isport(clk : in std_logic;q : out std_logic);end en tity fenpin;architecture behave of fen pi n issignal count:stdogic_
4、vector(7 downto 0);beg inprocess(clk)beg inif risin g_edge(clk) the ncoun t<=co un t+1;end if;end process;- qv=count(3);end architecture behave;1.3.2汽車尾燈主控模塊汽車尾燈主控模塊工作框圖如圖3.3所示。contrl111leftlp1irightrp11brakelrInightbrake_led111night_led圖1.3主控模塊工作框圖汽車尾燈主控模塊由VHDL程序來實現(xiàn),下面是其段 VHDL弋碼:library ieee;use
5、 ieee.stdo gic_1164.all;en tity contrl isport(left,right,brake ,ni ght: in std_logic;lp,rp,lr,brake_led,night_led :out stdogic );end en tity con trl;architecture behave of contrl isbeg inni ght_led<=ni ght;brake_led<=brake;process(left,right)variable temp:stdogic_vector(1 downto 0); beg intemp
6、:=left & right;case temp iswhen "00"=> lpv='0'rpv='0'lr<='0'when "01"=> lp<='0'rp<='1'lr<='0'when "10"=> lp<='1'rp<='0'lr<='0'whe n others=> lp<='0'rp
7、<='0'lr<='0'end case;end process;end architecture behave;3.4所示。133左邊燈控制模塊左邊燈控制模塊的工作框圖如圖leftclklpledllrledbbrakelednnight圖1.4左邊燈控制模塊的工作框圖 左邊燈控制模塊由VHDL程序來實現(xiàn),下面是其 VHDL弋碼: library ieee;use ieee.stdo gic_1164.all;en tity left_ctl isport(clk,lp,lr,brake, ni ght:in std_logic; ledl,led
8、b,led n:out std_logic);end en tity left_ctl;architecture behave of left_ctl isbeg in ledb<=brake;led n<=ni ght;process(clk,lp,lr)beg inif risin g_edge(clk) the nif(lr='O') thenif(lpv='O') thenledl<='0'elseledl<='1'end if;elseledl<='0'end if;end
9、if;end process;end architecture behave;1.3.4右邊燈控制模塊右邊燈控制模塊的工作框圖如圖3.5所示rightclki1rpledr1lrledb1ibrake nightlednii圖1.5右邊燈控制模塊的工作框圖右邊燈控制模塊由VHDL程序來實現(xiàn),下面是其中的一段VHDL弋碼:library ieee;use ieee.std_logic_1164.all;en tity right_ctl isport(rir 4W_ _ 一一 IK一尸 F92012汽車尾燈控制器clk,rp,lr,brake,night:in stdogic; ledr,led
10、b,led n:out std_logic);end en tity right_ctl;architecture behave of right_ctl isbegi nledb<=brake;led n<=ni ght;process(clk,rp,lr)begi nif risin g_edge(clk) the n if(lr='0') the nif(rp<='0') the n ledr<='0'elseledr<='1'end if;elseledr<='0'end
11、 if;end if;end process;end architecture behave;2系統(tǒng)仿真2.1分頻模塊仿真及分析分頻模塊由VHDL程序實現(xiàn)后,其仿真圖如圖2.1所示2012汽車尾燈控制器圖2.1分頻模塊仿真圖2.2汽車尾燈主控模塊仿真及分析汽車尾燈主控模塊由VHDL程序實現(xiàn)后,其仿真圖如圖2.2所示圖2.2主控模塊時序仿真圖對時序仿真圖進行分析:right,left,night,brake為輸入信號,right為1表示右轉,leftT 為1表示左轉,night為1表示夜間行路,brake為1表示剎車。rp,lp,night_led,brake_led 為輸出信號。如圖所示:當
12、right為1時,產生一個rp 為1的信號脈沖輸出,當left為1時,產生一個lp為1的信號脈沖輸出,當night為81時,產生一個night_led 為1的信號脈沖輸出。當brake為1時,產生一個brake_led為1的信號脈沖輸出。2.3左邊燈控制模塊仿真及分析左邊燈控制模塊由VHDL程序實現(xiàn)后,其仿真圖如圖2.3所示圖2.3左邊燈控制模塊時序仿真圖對時序仿真圖進行分析:Ip,lr,night,brake為輸入信號,Ip為1表示左轉,lr為1表示右轉,night為1表示夜間行路,brakeE為1表示剎車。ledl,ledb,ledn 為輸 出信號,表示汽車左側的三盞燈。如圖所示:當lp為
13、1時,ledl輸出為1表示左側燈亮, 當brake為1時,ledb輸出為1表示左側燈亮,當night為1時,ledn輸出為1表示 左側燈亮。當lr為1時,左側三盞燈輸出均為0。即沒有燈亮。2.4右邊燈控制模塊仿真及分析右邊燈控制模塊由VHD程序實現(xiàn)后,其仿真圖如圖4.4所示。2012汽車尾燈控制器圖2.4右邊燈控制模塊時序仿真圖對時序仿真圖進行分析:rp , lr,night,brake 為輸入信號,lr為1表示左轉,rp 為1表示右轉,night為1表示夜間行路,brakeE為1表示剎車。ledr,ledbB,ledn 為 輸出信號,表示汽車右側的三盞燈。如圖所示:當rp為1時,ledr輸出
14、為1表示右側燈 亮,當brake為1時,ledb輸出為1表示右側燈亮,當night為1時,ledn輸出為1 表示右側燈亮。當lr為1時,右側三盞燈輸出均為0。即沒有燈亮。2.5整個系統(tǒng)仿真及分析按圖3.1組裝系統(tǒng)后的仿真圖如圖4.5所示。圖2.5整個系統(tǒng)仿真圖對時序仿真圖進行分析:right ,left,night,brake 為輸入信號,right為1表示右轉,left為1表示左轉,night為1表示夜間行路,brake為1表示剎車。rd1,rd2,rd3為輸出信號,表示汽車右側的三盞燈。Id1,ld2,ld3 為輸出信號,表示汽車左側的三盞 燈。如圖所示:當right為1時,rd1輸出為1
15、表示右側燈亮,當left為1時,Id1為 輸出為1表示左側燈亮,當night為1時,Id2,rd2輸出均為1,表示左,右兩側各有 一盞燈亮。當brake為1時,Id3,rd3輸出均為1,表示左,右兩側各有一盞燈亮。3硬件電路部分3.1芯片的選擇CPLD采用Altera 新一代的Maxll器件EPM570T100C5配合Quartusll可以很容易實現(xiàn)CPLD的開發(fā),模塊具有4位鍵盤輸入,4位開關量輸入,8個動態(tài)LED顯示,1個 靜態(tài)LED顯示,8個發(fā)光管,1個蜂鳴器,用于完成試驗,同時引出了3.3V的IO 口,方便模塊的擴展。程序下載方法采用ByteBlaster,本模塊下載時,插上跳線帽 J
16、P214JP217其他 模塊下載時拔下跳線帽JP214JP2173.1.1芯片的選擇(圖2.6) 肆 ii > H » F H d" b kTop viffwr.iAX ii3.1.2詳細連線圖(如圖2.7 )圖2.73.2輸入輸出接口的選擇3.2.1開關量輸入接口( S205S208表F.3-3撥盤開關輸入接口名稱EPM507引腳號輸入電平備注接口S20533左為0,右為1電平輸入leftS20634左為0,右為1電平輸入rightS20735左為0,右為1電平輸入brakeS20836左為0,右為1電平輸入n ight2012汽車尾燈控制器3.2.2 發(fā)光管輸出(D201D208)表F.3-4發(fā)光管輸出名稱EPM570弓|腳號備注輸出接口功能指示D20138紅ld1左轉D20240黃Ld3照明D20341綠Ld2剎車D20648綠rd1剎車D20749黃rd3照明D20850紅rd2右轉4試驗總結通過兩星期的緊張工作,最后完成了我們的設計任務一一汽車尾燈控制器的設計。 通過本次課程設計的學習,我深深的體會到設計課的重要性和目的性。在設計中要求我們要有耐心和毅力,還要細心,稍有不慎,一個小小的錯誤就會導 致結果的不正確,而
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 部編版八年級歷史(上)第4課洋務運動聽課評課記錄
- 環(huán)保合作項目協(xié)議書
- 2022年新課標八年級上冊道德與法治《第六課 角色與責任同在 》聽課評課記錄(2課時)
- 蘇科版數(shù)學七年級下冊7.2《探索平行線的性質》聽評課記錄1
- 湘教版數(shù)學八年級上冊1.3.3《整數(shù)指數(shù)冪的運算法則》聽評課記錄
- 無錫蘇教版四年級數(shù)學上冊《觀察由幾個正方體擺成的物體》聽評課記錄
- 湘教版數(shù)學九年級下冊2.6《弧長與扇形面積》聽評課記錄2
- 可轉股債權投資協(xié)議書范本
- 投資框架協(xié)議書范本
- 多人合辦店鋪合伙協(xié)議書范本
- 衛(wèi)生院安全生產知識培訓課件
- 口腔醫(yī)院感染預防與控制1
- 發(fā)生輸液反應時的應急預案及處理方法課件
- 中國旅游地理(高職)全套教學課件
- 門脈高壓性消化道出血的介入治療課件
- 民航保密培訓課件
- 兒童尿道黏膜脫垂介紹演示培訓課件
- 詩詞寫作入門
- 學校教育中的STEM教育模式培訓課件
- 電器整機新產品設計DFM檢查表范例
- 樁基礎工程文件歸檔內容及順序表
評論
0/150
提交評論