![MPSK調(diào)制解調(diào)_第1頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/16/37ae4952-525d-434c-a250-c9bb651eadec/37ae4952-525d-434c-a250-c9bb651eadec1.gif)
![MPSK調(diào)制解調(diào)_第2頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/16/37ae4952-525d-434c-a250-c9bb651eadec/37ae4952-525d-434c-a250-c9bb651eadec2.gif)
![MPSK調(diào)制解調(diào)_第3頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/16/37ae4952-525d-434c-a250-c9bb651eadec/37ae4952-525d-434c-a250-c9bb651eadec3.gif)
![MPSK調(diào)制解調(diào)_第4頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/16/37ae4952-525d-434c-a250-c9bb651eadec/37ae4952-525d-434c-a250-c9bb651eadec4.gif)
![MPSK調(diào)制解調(diào)_第5頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/16/37ae4952-525d-434c-a250-c9bb651eadec/37ae4952-525d-434c-a250-c9bb651eadec5.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、多進(jìn)制數(shù)字相位調(diào)制(MPSK)1前言:VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱可是部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。2設(shè)計(jì)主題2.1設(shè)計(jì)目的(1) .掌握MPSK的設(shè)計(jì)原理(2) .掌
2、握MPSK的VHDL設(shè)計(jì)2.2 MPSK的設(shè)計(jì)原理多進(jìn)制數(shù)字相位調(diào)制也稱多元調(diào)相或多相制。它利用具有多個(gè)相位狀態(tài)的正弦波來代表多組二進(jìn)制信息碼元,即用載波的一個(gè)相位對(duì)應(yīng)于一組二進(jìn)制信息碼元。如果載波有2k個(gè)相位,它可以代表 k位二進(jìn)制碼元的不同碼組。多進(jìn)制相移鍵控也分為多進(jìn)制絕對(duì)相移鍵控和多進(jìn)制相對(duì)(差分)相移鍵控。下面以四相相位調(diào)制為例進(jìn)行討論。四相調(diào)相信號(hào)是一種四狀態(tài)符號(hào),即符號(hào)有00、01、10、11四種狀態(tài)。所以,對(duì)于輸入的二進(jìn)制序列,首先必須分組,每兩位碼元一組。然后根據(jù)組合情況,用載波的四種相位表征它們。這種由兩個(gè)碼元構(gòu)成一種狀態(tài)的符號(hào)碼元稱為雙比特碼元。同理,k位二進(jìn)制碼構(gòu)成一種
3、狀態(tài)符號(hào)的碼元?jiǎng)t稱為k比特碼元、四相PSK(4PSK)信號(hào)實(shí)際是兩路正交雙邊帶信號(hào)。串行輸入的二進(jìn)制碼,兩位分成一組。若前一位用A表示,后一位用B表示,經(jīng)串/并變換后變成寬度加倍的并行碼(A、B碼元在時(shí)間上是對(duì)齊的)。再分別進(jìn)行極性變換,把單極性碼變成雙極性碼,然后與載波相乘,形成正交的雙邊帶信號(hào),加法器輸出形成4PSK信號(hào)。顯然,此系統(tǒng)產(chǎn)生的是4系統(tǒng)PSK信號(hào)。如果產(chǎn)生2系統(tǒng)的PSK信號(hào),只需把載波移相4后再加到乘法器上即可。 (系統(tǒng)信號(hào)的產(chǎn)生原理框圖 )因?yàn)?4 PSK信號(hào)是兩個(gè)正交的2 PSK信號(hào)的合成,所以可仿照 2 PSK信號(hào)的相平解調(diào)方法,用兩個(gè)正交的相干載波分別檢測(cè)A和B兩個(gè)分量
4、,然后還原成串行二進(jìn)制數(shù)字信號(hào),即可完成4 PSK信號(hào)的解調(diào)。此法是一種正交相平解調(diào)法,又稱極性比較法,原理圖在下。 (系統(tǒng)PSK信號(hào)解調(diào)原理框圖 )根據(jù)4移相系統(tǒng)PSK信號(hào)的相位配置規(guī)定,抽樣判決器的判決準(zhǔn)則表在下頁。當(dāng)判決器按極性判決時(shí),若正抽樣值判為1,負(fù)抽樣值判為0,則可將調(diào)相信號(hào)解調(diào)為相應(yīng)的數(shù)字信號(hào)。解調(diào)出的A和B再經(jīng)并串變換,就可還原出原調(diào)制信號(hào)。若解調(diào)2移相系統(tǒng)的PSK信號(hào),需改變移相網(wǎng)絡(luò)及判決準(zhǔn)則。 MPSK調(diào)制器方框圖注:電路符號(hào)圖中沒有包含模擬電路部分,輸出信號(hào)為數(shù)字信號(hào)。 基帶信號(hào)通過串/并轉(zhuǎn)換器xx得到2位并行信號(hào)yy;四選一開關(guān) 根據(jù)yy的數(shù)據(jù),選擇載波對(duì)應(yīng)的相位進(jìn)行
5、輸出,即得調(diào)制信號(hào) y。 MPSK調(diào)制器建模符號(hào)圖-文件名:MPSK-功能:基于VHDL硬件描述語言,對(duì)基帶信號(hào)進(jìn)行MPSK調(diào)制(這里 -M=4)-說明:調(diào)制信號(hào)說明如下表所示。MPSK調(diào)制VHDL程序仿真圖3 總結(jié)通過這次設(shè)計(jì),讓我學(xué)到了很多知識(shí),也獲得很多體會(huì),這是自己將平日學(xué)的理論知識(shí)應(yīng)用到實(shí)際操作中的一次很好的實(shí)踐。同時(shí),也是通過自己不斷學(xué)習(xí)和努力,才明白:實(shí)際操作和設(shè)計(jì),并不是像學(xué)習(xí)理論知識(shí)那么簡單,會(huì)理論不等于就會(huì)應(yīng)用,很多都是經(jīng)驗(yàn)的東西,需要在自己的努力設(shè)計(jì)中才能慢慢體會(huì)到,設(shè)計(jì)多了,才會(huì)經(jīng)驗(yàn)中找到設(shè)計(jì)自如的感覺。 參考文獻(xiàn)(1)鄔春明 通信原理實(shí)驗(yàn)與課程設(shè)計(jì).北京大學(xué)出版社.2
6、013;(2)趙全利著EAD技術(shù)及應(yīng)用.機(jī)械工業(yè)出版社.2012;(3)全國大學(xué)生電子設(shè)計(jì)組委會(huì)著電子系統(tǒng)設(shè)計(jì)實(shí)踐.2005;(4)林明權(quán)著VHDL數(shù)字控制系統(tǒng)設(shè)計(jì)范例. 電子工業(yè)出版社.調(diào)制程序: library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity MPSK isport(clk :in std_logic; -系統(tǒng)時(shí)鐘 start :in std_logic; -開始調(diào)制信號(hào) x :in std_logic; -基
7、帶信號(hào) y :out std_logic); -調(diào)制信號(hào)end MPSK;architecture behav of MPSK issignal q:integer range 0 to 7; -計(jì)數(shù)器signal xx:std_logic_vector(1 downto 0);-中間寄存器signal yy:std_logic_vector(1 downto 0);-2位并行碼寄存器signal f:std_logic_vector(3 downto 0); -載波fbeginprocess(clk)-通過對(duì)clk分頻,得到4種相位;并完成基帶信號(hào)的串并轉(zhuǎn)換beginif clk'e
8、vent and clk='1' then if start='0' then q<=0; elsif q=0 then q<=1;f(3)<='1' f(1)<='0' xx(1)<=x;yy<=xx; elsif q=2 then q<=3;f(2)<='0' f(0)<='1' elsif q=4 then q<=5;f(3)<='0' f(1)<='1' xx(0)<=x; elsi
9、f q=6 then q<=7;f(2)<='1' f(0)<='0' else q<=q+1; end if;end if;end process;y<=f(0) when yy="11" else f(1) when yy="10" else f(2) when yy="01" else f(3); -根據(jù)yy寄存器數(shù)據(jù),輸出對(duì)應(yīng)的載波end behav;解調(diào)程序:library ieee;use ieee.std_logic_arith.all;use ieee.st
10、d_logic_1164.all;use ieee.std_logic_unsigned.all;entity MPSK2 isport(clk :in std_logic; -系統(tǒng)時(shí)鐘 start :in std_logic; -同步信號(hào) x :in std_logic; -調(diào)制信號(hào) y :out std_logic); -基帶信號(hào)end MPSK2;architecture behav of MPSK2 issignal q:integer range 0 to 7; -計(jì)數(shù)器signal xx:std_logic_vector(2 downto 0); -加法器signal yyy:st
11、d_logic_vector(1 downto 0);-2位并行基代信號(hào)寄存器signal yy:std_logic_vector(2 downto 0); -寄存xx數(shù)據(jù)beginprocess(clk)beginif clk'event and clk='1' then if start='0' then q<=0; elsif q=0 then q<=1;yy<=xx; y<=yyy(0); -把加法計(jì)數(shù)器的數(shù)據(jù)送入yy寄存器 if x='0' then xx<="001" -調(diào)制信
12、號(hào)x為低電平時(shí),送入加法器的數(shù)據(jù)“001” else xx<="000" end if; elsif q=2 then q<=3; if x='0' then xx<=xx+"001" -調(diào)制信號(hào)x為低電平時(shí),送入加法器的數(shù)據(jù)“001” end if; elsif q=4 then q<=5; y<=yyy(1); if x='0' then xx<=xx+"010" -調(diào)制信號(hào)x為低電平時(shí),送入加法器的數(shù)據(jù)“010” end if; elsif q=6 then q
13、<=7; if x='0' then xx<=xx+"011" -調(diào)制信號(hào)x為低電平時(shí),送入加法器的數(shù)據(jù)“011” end if; else q<=q+1; end if;end if;end process;process(yy) -此進(jìn)程根據(jù)yy寄存器里的數(shù)據(jù)進(jìn)行譯碼beginif clk='1' and clk'event then if yy="101" then yyy<="00" -yy寄存器“101”對(duì)應(yīng)基帶碼“00” elsif yy="011" then yyy<="01" -yy寄存器“011”對(duì)應(yīng)基帶碼“01” elsi
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024-2025學(xué)年高中生物 第3章 植物的激素調(diào)節(jié) 第1節(jié) 植物生長素的發(fā)現(xiàn)說課稿 新人教版必修3001
- 2024年五年級(jí)英語下冊(cè) Module 7 Unit 2 I will be home at seven oclock說課稿 外研版(三起)
- 2025住宅裝修物業(yè)管理合同(合同范本)
- 8《池子與河流》(說課稿)-2023-2024學(xué)年統(tǒng)編版語文三年級(jí)下冊(cè)
- 2025鍋爐拆除安全施工合同
- 2025有關(guān)電梯廣告的合同范本
- Unit 6 Disaster and Hope Understanding ideas 說課稿-2023-2024學(xué)年外研版高中英語(2019)必修第三冊(cè)
- 代理制 合同范本
- 農(nóng)業(yè)保險(xiǎn)代購合同范例
- 2024年春七年級(jí)地理下冊(cè) 第9章 第1節(jié) 美國說課稿 (新版)新人教版001
- 職業(yè)衛(wèi)生培訓(xùn)課件
- 柴油墊資合同模板
- GB/T 44489-2024高級(jí)輔助駕駛地圖審查要求
- 2024-2030年中國體外除顫器行業(yè)市場發(fā)展趨勢(shì)與前景展望戰(zhàn)略分析報(bào)告
- 2024-2030年中國人力資源行業(yè)市場發(fā)展前瞻及投資戰(zhàn)略研究報(bào)告
- 2024-2030年中國樺樹汁行業(yè)市場發(fā)展趨勢(shì)與前景展望戰(zhàn)略分析報(bào)告
- 全冊(cè)(教案)外研版(一起)英語四年級(jí)下冊(cè)
- 偏差行為、卓越一生3.0版
- 國網(wǎng)浙江電科院:2024浙江工商業(yè)儲(chǔ)能政策及收益分析報(bào)告
- 中國兒童幽門螺桿菌感染診治專家共識(shí)2022
- JJG 4-2015鋼卷尺行業(yè)標(biāo)準(zhǔn)
評(píng)論
0/150
提交評(píng)論