版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、目錄目錄 第 1 章 系統(tǒng)設計要求.1 第 2 章 系統(tǒng)設計方案 .2 2.1 電子密碼鎖輸入電路的設計 .2 2.1.1 矩陣式鍵盤的工作原理 .3 2.1.2 密碼鎖輸入電路各主要功能模塊的設計.3 2.2密碼鎖控制電路的設計.4 2.2.1 數(shù)字按鍵輸入的響應控制 .4 2.2.2 功能按鍵輸入的響應控制.4 2.3 密碼鎖顯示電路的設計 .4 2.4 電子密碼鎖的三種模式關系 .4 第 3 章 模塊分析 .6 3.1 密碼鎖輸入模塊 .6 3.1.1 密碼鎖輸入模塊的 vhdl 源程序.6 3.1.2 密碼鎖輸入模塊的原理圖.8 3.1.3 密碼鎖輸入模塊的仿真.8 3.2 密碼鎖控制
2、模塊 .8 3.2.1 密碼鎖控制模塊的 vhdl 源程序.8 3.2.2 密碼鎖控制模塊的原理圖.10 3.2.3 密碼鎖控制模塊的仿真.10 3.3 密碼鎖譯碼模塊 .11 3.3.1 密碼鎖譯碼模塊的 vhdl 源程序.11 3.3.2 密碼鎖譯碼模塊的原理圖.11 3.4 密碼鎖系統(tǒng) .12 3.4.1 系統(tǒng)整體組裝設計原理圖.12 第 4 章 設計總結 .14 參考文獻.15 第第 1 章章 系統(tǒng)設計要求系統(tǒng)設計要求 設計一個具有較高安全性和較低成本的通用電子密碼鎖,其具體功能要求如下: 1. 數(shù)碼輸入:每按下一個數(shù)字鍵,就輸入一個數(shù)值,并在顯示器上的最右方顯示出 該數(shù)值,同時將先前
3、輸入的數(shù)據(jù)依序左移一個數(shù)字位置。 2. 數(shù)碼清除:按下此鍵可清除前面所有的輸入值,清除成為“0000” 。 3. 密碼更改:按下此鍵時會將目前的數(shù)字設定成新的密碼。 4. 激活電鎖:按下此鍵可將密碼鎖上鎖。 5. 解除電鎖:按下此鍵會檢查輸入的密碼是否正確,密碼正確即開鎖。 第第 2 章章 系統(tǒng)設計方案系統(tǒng)設計方案 作為電子密碼鎖的輸入電路,可供選擇的方案有數(shù)字機械式鍵盤和觸摸式數(shù)字鍵盤等 多種。 根據(jù)以上選定的輸入設備和顯示器件,并考慮到實現(xiàn)各項數(shù)字密碼鎖功能的具體要求, 整個電子密碼鎖系統(tǒng)的總體組成框圖如圖 2-1 所示。 圖 2-1 電子密碼鎖系統(tǒng)總體框圖 2.1 電子密碼鎖輸入電路的設
4、計電子密碼鎖輸入電路的設計 圖 2-2 是電子密碼鎖的輸入電路框圖,由鍵盤掃描電路、彈跳消除電路、鍵盤譯碼電 路、按鍵數(shù)據(jù)緩存器,加上外接的一個 34 矩陣式鍵盤組成。 圖 2-2 密碼鎖的輸入電路框圖 2.1.1 矩陣式鍵盤的工作原理 矩陣式鍵盤是一種常見的輸入裝置,在日常的生活中,矩陣式鍵盤在計算機、電話、 手機、微波爐等各式電子產(chǎn)品上已經(jīng)被廣泛應用。圖 2-3 是一個 34 矩陣式鍵盤的面板 配置圖,其中數(shù)字 09 作為密碼數(shù)字輸入按鍵,*作為“上鎖”功能按鍵,#作為“解鎖/ 清除”功能按鍵。 圖 2-3 34 矩陣式鍵盤的面板配置 2.1.2 密碼鎖輸入電路各主要功能模塊的設計 1.
5、時序產(chǎn)生電路時序產(chǎn)生電路 本時序產(chǎn)生電路中使用了三種不同頻率的工作脈沖波形:系統(tǒng)時鐘脈沖(它是系統(tǒng)內(nèi) 部所有時鐘脈沖的源頭,且其頻率最高)、彈跳消除取樣信號、鍵盤掃描信號。 2. 鍵盤掃描電路鍵盤掃描電路 掃描電路的作用是用來提供鍵盤掃描信號(表 4.1 中的 ky3ky0)的,掃描信號變化的 順序依次為 11101101101101111110.依序地周而復始。 3. 鍵盤譯碼電路鍵盤譯碼電路 上述鍵盤中的按鍵分為數(shù)字按鍵和文字按鍵,每一個按鍵可能負責不同的功能,例如 清除鍵、上鎖鍵和解除鍵等。數(shù)字按鍵主要用來輸入數(shù)字的,但是鍵盤所產(chǎn)生的輸出是無 法直接拿來用作密碼鎖控制電路的輸入的;另外,
6、不同的按鍵具有不同的功能,所以必須 有按鍵譯碼電路來規(guī)劃每個按鍵的輸出形式,以便執(zhí)行相應的動作。 4. 按鍵存儲電路按鍵存儲電路 因為每次掃描會產(chǎn)生新的按鍵數(shù)據(jù),可能會覆蓋前面的數(shù)據(jù),所以需要一個按鍵存儲 電路,將整個鍵盤掃描完畢后的結果記錄下來。 各按鍵的位置與數(shù)碼關系如表 2.1 所示。 表 2.1 按鍵位置與數(shù)碼關系 按鍵位置000000010101 輸出信號001101010110001101010110 按鍵號123456 按鍵位置010101111111 輸出信號001101010110001101010110 按鍵號789*0# 2. 2密碼鎖控制電路的設計密碼鎖控制電路的設計
7、密碼鎖的控制電路是整個電路的控制中心,主要完成對數(shù)字按鍵輸入和功能按鍵輸入 的響應控制。 2. 2.數(shù)字按鍵輸入的響應控制 1. 如果按下數(shù)字鍵,第一個數(shù)字會從顯示器的最右端開始顯示,此后每新按一個數(shù) 字時,顯示器上的數(shù)字必須左移一格,以便將新的數(shù)字顯示出來。 2. 假如要更改輸入的數(shù)字,可以按倒退按鍵來清除前一個輸入的數(shù)字,或者按清除 鍵清除所有輸入的數(shù)字,再重新輸入四位數(shù)。 3.由于這里設計的是一個四位的電子密碼鎖,所以當輸入的數(shù)字鍵超過四個時,電路 不予理會,而且不再顯示第四個以后的數(shù)字。 .功能按鍵輸入的響應控制 1. 清除鍵:清除所有的輸入數(shù)字,即做歸零動作。 2. 激活電鎖鍵:按下
8、此鍵時可將密碼鎖的門上鎖。(上鎖前必須預先設定一個四位的 數(shù)字密碼。) 3. 解除電鎖鍵:按下此鍵會檢查輸入的密碼是否正確,若密碼正確無誤則解鎖。 2.3 密碼鎖顯示電路的設計密碼鎖顯示電路的設計 密碼鎖顯示電路的設計比較簡單,這里直接采用四個 4-7 譯碼器來實現(xiàn)。 2.4 電子密碼鎖的三種模式關系電子密碼鎖的三種模式關系 電子密碼鎖存在三種模式:輸入文字模式、上鎖工作模式和開鎖工作模式。這三種模 式有一定的關系相對應,對應關系如下圖。 圖 2-4 電子密碼鎖的三種模式及關系 第第 3 章章 模塊分析模塊分析 3.1 密碼鎖輸入模塊密碼鎖輸入模塊 密碼鎖輸入電路主要是產(chǎn)生時鐘脈沖信號和按鍵輸
9、入信號。 3.1.1 密碼鎖輸入模塊的 vhdl 源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity sr is port ( clk_1k: in std_logic; key_in: in std_logic_vector ( 2 downto 0); data_n: out std_logic_vector ( 3 downto 0); data_f: out std_logic_vector ( 3 dow
10、nto 0); flag_n: out std_logic; flag_f: out std_logic; cqd: out std_logic; ksel: out std_logic_vector ( 3 downto 0); csr: out std_logic_vector ( 1 downto 0); end sr; architecture art of sr is signal c_qd: std_logic; signal c_sr: std_logic_vector ( 1 downto 0); signal n,f: std_logic_vector ( 3 downto
11、0); signal fn,ff: std_logic; signal sel: std_logic_vector ( 3 downto 0); signal q: std_logic_vector ( 5 downto 0); signal c: std_logic_vector ( 2 downto 0); begin data_n = n; data_f = f; flag_n = fn; flag_f = ff; cqd = c_qd; csr = c_sr; ksel= sel; c(0)= key_in(0); c(1)= key_in(1); c(2)= key_in(2); c
12、ounter : block is begin process (clk_1k) is begin if(clk_1kevent and clk_1k=1)then q = q+1; end if; c_qd = q(3); c_sr = q(5 downto 4); end process; sel = 1110when c_sr = 0 else 1101when c_sr = 1 else 1011when c_sr = 2 else 0111when c_sr = 3 else 1111; end block counter; key_decoder : block signal z
13、: std_logic_vector ( 4 downto 0); begin process(c_qd) begin z n n n n n n n n n n n f f f =1000; end case; end if; end process; fn = not ( n(3) and n(2) and n(1) and n(0); ff = f(2) or f(0); end block key_decoder; end architecture art; 3.1.2 密碼鎖輸入模塊的原理圖 圖 3-1 密碼鎖輸入模塊的原理圖 3.1.3 密碼鎖輸入模塊的仿真 圖 3-2 密碼鎖輸入
14、模塊的仿真圖 圖 3-2 為密碼鎖輸入電路的仿真結果圖,圖中的輸出信號 csr,cqd 是為便于仿真時 觀察中間結果而增加的觀測點的輸出,調(diào)試好后程序中的相應語句應注釋掉。 3.2 密碼鎖控制模塊密碼鎖控制模塊 密碼鎖控制模塊是整個系統(tǒng)的控制中心,主要控制數(shù)字按鍵輸入和功能按鍵輸入,如清 除、上鎖和解鎖等。 3.2.1 密碼鎖控制模塊的 vhdl 源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ctrl is
15、port ( data_n: in std_logic_vector ( 3 downto 0); data_f: in std_logic_vector ( 3 downto 0); flag_n: in std_logic; flag_f: in std_logic; mimain: buffer std_logic; setin: buffer std_logic; old: buffer std_logic; cqd: in std_logic; enlock: out std_logic; data_bcd: out std_logic_vector ( 15 downto 0);
16、end ctrl; architecture art of ctrl is signal acc, reg: std_logic_vector (15 downto 0); begin process(cqd,flag_f) is begin if cqdevent and cqd= 0 then if flag_f =1 then if(data_f = 0100)then acc= 1111111111111111; mimain= 0;setin=0;old enlock mimain= 1; acc setin= 1; acc= 1111111111111111; oldnull; e
17、nd case; elsif(mimain= 1)then if acc = reg then enlock= 0; mimain= 0; else mimain= 0; end if; elsif(setin =1)then if(old = 1)then if(acc = reg)then old= 0; else setin= 0; old= 0; end if; else if (acc1001100110011001)then reg= acc; setin= 0; end if; end if; end if; end if; elsif flag_n = 1then acc= a
18、cc(11 downto 0) end if; end if; end process; data_bcddout7dout7dout7dout7dout7dout7dout7dout7dout7dout7dout7= 0000000; end case; end process; end architecture art; 3.3.2 密碼鎖譯碼模塊的原理圖 圖 3-5 密碼鎖譯碼模塊的原理圖 3.3.3 密碼鎖譯碼模塊的仿真 圖 3-6 密碼鎖譯碼模塊的仿真圖 上述仿真圖輸入為鍵盤按鍵數(shù),通過七段數(shù)碼管相應的顯示出來。從上圖可以看出, 當 bcd 數(shù)碼輸入(data_bcd)為“09”時,則在七段譯碼輸出(dout7)相應為 “09” ,如此循環(huán)往復。 3.4 密碼鎖系統(tǒng)密碼鎖系統(tǒng) 由輸入、控制和譯碼三個模塊組成的密碼鎖系統(tǒng),可以實現(xiàn)密碼輸入、密碼清除、密 碼更改、密碼上鎖和密碼解除等多種功能。 3.4.1 系統(tǒng)整體組裝設計原理圖 將前面各個設計好的功能模塊進行整合,可得到一個完整的電子密碼鎖系統(tǒng)的整體組 裝設計原理圖,如圖 3-7 所示。 圖 3-7 系統(tǒng)整體組裝設計原理圖 通過上圖的系統(tǒng)整體組裝設計原理圖進行編譯可以生成圖 3-8 的系統(tǒng)原理圖。 圖 3-8
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年無機械動力飛機項目建議書
- 2025年文字、語音、圖象識別設備項目建議書
- 2024年版權轉讓合同(含作品類型與轉讓費用)
- 2025餐飲合作協(xié)議合同模板
- 建筑工程槽探施工合同
- 教育資源二手房直賣協(xié)議
- 2025供貨合同范本【官方版】
- 2024年高效軟件開發(fā)服務合作合同版B版
- 農(nóng)業(yè)資金效益
- 城市自行車道擴建砂石路施工合同
- 舞蹈療法在減少壓力和焦慮中的作用
- 女性婦科保健知識講座
- 《電力系統(tǒng)治安反恐防范要求 第3部分:水力發(fā)電企業(yè)》
- 部編版語文四年級下冊第二單元大單元教學設計核心素養(yǎng)目標
- 2024年小學教師聽課、評課制度
- 精品解析:河北省衡水市衡水中學2023-2024學年高一上學期期末數(shù)學試題(解析版)
- 2023年《鐵道概論》考試復習題庫附答案(含各題型)
- (電焊工)勞務分包合同
- 陜西省西安市西咸新區(qū)2023-2024學年七年級上學期1月期末歷史試題
- 北師大版數(shù)學三年級下冊全冊教案教學設計及教學反思
- 重難點06讀后續(xù)寫-2023年高考英語【熱點·重點·難點】(新高考專用)
評論
0/150
提交評論