




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、數(shù)字邏輯課程設(shè)計多功能數(shù)字鐘班級:學(xué)號: 課程設(shè)計人: 指導(dǎo)老師:課題:気成時間:一、設(shè)計目的:學(xué)會應(yīng)用數(shù)字系統(tǒng)設(shè)計方法進行電路設(shè)計,熟練地運用匯編語言。二、設(shè)計任務(wù)及要求:1. 記時、記分、記秒2. 校時、校分、秒清03. 整點報時4. 時間正常顯示5鬧時功能三、設(shè)計思路:將整個鬧鐘分為以下幾個模塊,每個模塊中都有詳細的各部分的設(shè)計思路,源代碼及仿真圖像,生成的器件。1.計時模塊計小時:24進制計數(shù)器計分、計秒:60進制計數(shù)器計時間過程:計秒:1HZ計數(shù)脈沖,059循環(huán)計數(shù),計數(shù)至59時產(chǎn)生進位信號。計分:以秒計數(shù)器進位信號作為分計數(shù)脈沖,059循環(huán)計數(shù),59時產(chǎn)生進位。計時:以分計數(shù)器進位
2、信號作為時計數(shù)脈沖,023循環(huán)計數(shù),23時清0。二十四進制計數(shù)器代碼:library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity cn t24 isport (clk:in std_logic;qh,ql:out stdo gic_vector(3 dow nto 0);end cnt24;architecture behave of cn t24 issig nal q1,q0:stdo gic_vector(3 dow nto 0);beg inprocess(clk)beg inif
3、(clkeve nt and clk=1)the nif(q仁0010 a nd q0=0011)the n q1=0000;q0=0000;elsif(q0=1001)the nq0=0000;q1=q1+1;elseq0=q0+1;end if;end if;qh=q1;ql=q0;end process; end behave;仿真結(jié)果:IMaatef TirreB-ar7.45 nsq*|Pnnei47&.G nsIntel戒489.15 nsSlsarlEnd4EH.p mflTa.pHm1i7 451*0kLl|Q ohh C國吐A 曲f3JIi CH1I圖一、cnt24仿真圖像六
4、十進制計數(shù)器代碼:library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity cn t60 isport (clk:in std_logic;clr:in std_logic;ql,qh:out std_logic_vector(3 downto 0);c:out stdo gic);end cnt60;architecture ent of cn t60 issignal q1,q0:stdogic_vector(3 downto 0);beg inprocess(clk,clr)beg
5、 inif(clr=1)the nq1v=0000;q0v=0000;c=0;elseif(clkevent and clk=1)thenif(q1=0101 a nd q0=1001)then-到 59q1=0000;q0=0000;c=1;elsif(q10101 and q0=1001)then q0=0000;q1=q1+1;c=0;elsif(q01001) the nq0=q0+1;end if;end if;end if;qh=q1;ql=q0;end process;/Idsiei Tme Bd:7.45nsa|jJ PowWw.9O3.E5nsIriciYfll:696.2*
6、155iaAOpsEndl.DusHu VvluB$90 p u900 p uPLOLp mT.5D亡AJ1elk軋|11iiii1l_Edr慮B 3k k k Q門 xsixmxKiX1XEiX 卻end ent;仿真結(jié)果:cnt24elk qh3.Oql3.Oinst圖二、cnt60仿真圖像圖三、生成的計數(shù)器符號2. 校時模塊:思路:按下校時鍵,時位迅速遞增,滿23清0 按下校分鍵,分位迅速遞增,滿59清0 注意:此時應(yīng)屏蔽分進位。按清0鍵,秒清0。脈沖按鍵S1S8撥動開關(guān)K1K12任選三個 兩個問題:(1)如何實現(xiàn)校對時間時,計數(shù)器快速遞增?按鍵校對時間時,將一個頻率較高的計數(shù)脈沖信號作
7、用于計數(shù)器,屏蔽正常 計時的計數(shù)脈沖信號。(2)如何消除“抖動”?電路抖動:一次按鍵的彈跳現(xiàn)象,電路產(chǎn)生多個計數(shù)脈沖,導(dǎo)致一次按鍵, 多次計數(shù)的誤動作。抖動產(chǎn)生的原因:物理原因。消除的簡易方法:D觸發(fā)器,同步按鍵脈沖。3. 整點報時模塊:從59分50秒開始,每2秒一次低音報時;當(dāng)達到整點時,進行一次高音報 時。低音:頻率可定為500HZ高音:頻率可定為1KHZ 報時效果:報時脈沖接揚聲器輸入,引腳號:N6整點報時器件代碼library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity alert
8、_31 isport(m1,mO,s1,sO:in stdogic_vector(3 downto 0);siga,sigb:out std_logic);end alert_31;architecture alert of alert_31 isbeg insiga=1whe n(m 仁0101 a nd m0=1001 a nd s仁0101 a nd (s0=0000 or s0=0010 or s0=0100 or s0=0110 or s0=1000)else0;andsigb=1whe n(m仁0000and m0=0000 ands仁0000s0=0000)else0;end a
9、lert;仿真結(jié)果:圖四、整點報時模塊,低頻率報時4、分頻模塊:設(shè)計一個進制較大的計數(shù)器,分頻產(chǎn)生各種頻率的脈沖信號 代碼:library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity freq_divider isport (clk:in stdo gic;hz512,hz64,hz4,hz1:out std_logic);End freq_divider;architecture beh of freq_divider is signal cc: stdogic_vector(9 dow
10、nto 0); beg inprocess(clk)begi n if(clkevent and clk=1) then if(cc=1111111111)the n cc=0000000000;elsecc=cc+1;end if;end if;end process; hz512=cc(0); hz64=cc (3); hz4=cc(7); hz1=cc(9);end beh;仿真結(jié)果:5. 動態(tài)掃描顯示模塊:動態(tài)模式下,8個數(shù)碼管連接同個七段碼,需要進行分時控制的動態(tài)掃描顯示 七段譯碼器代碼:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEE
11、E.STD_LOGIC_UNSIGNED.ALL;ENTITY dtsm isport(clk:in std_logic;h:in stdogic_vector(7 downto 0);m:in stdogic_vector(7 downto 0);s:in stdogic_vector(7 downto 0);seg7out:out std_logic_vector(6 downto 0);sel:buffer stdo gic_vector(2 dow nto 0);END dtsm;ARCHITECTURE beha of dtsm issig nal key:std_logic_vec
12、tor(3 dow nto 0);BEGINPROCESS(clk)variable dou nt:std_logic_vector(2 dow nto 0):=000;BEGINIF(risi ng_edge(clk)the nIF dou nt=1O1 the ndou nt:=OOO;ELSEdoun t:=do un t+1;END IF;END IF; selkeykeykeyv=m(7 dow nto 4);whe n 011=keykeykeynu II;END CASE;END PROCESS;PROCESS (key)BEGINcase key iswhe n0000=seg
13、7outseg7outseg7outseg7outseg7outseg7outseg7outseg7outseg7outseg7outseg7outnu II;END CASE;END PROCESS;END beha;器件生成:-12 -圖七、動態(tài)掃描器件6. 鬧時模塊:多路選擇器源程序:library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_ un sig ned.all;en tity alarmset is port(sel:in std_logic;in stdo
14、gic_vector(7dow ntohclo,mclo,sclo,halr,malr,salr:0);h,s,m:out stdogic_vector(7 downto 0); end alarmset;architecture beh of alarmset is beg inprocess(sel)begi nif(sel=0)then h=hclo; m=mclo;s=sclo;else h=halr; m=malr;s=salr;end if;end process;end beh;數(shù)值比較器代碼:library ieee;use ieee.std_logic_1164.all;us
15、e ieee.stdo gic_arith.all;use ieee.std_logic_ un sig ned.all;en tity alarmcmp isport( h,m,halr,malr: in stdogic_vector(7 downto 0); stop:in stdo gic;sig:out stdo gic);end alarmcmp;architecture beh of alarmcmp isbeg inprocess(h,m,halr,malr,stop)beg inif stop=1the nsig=0;end if;if h=halr and m=malr an
16、d stop=0 the nsig=1;else sig;igiSMULTLE2L圖九、頂層圖Ifilbs21mux叫?叮jjlTA_E、寧六、設(shè)計中遇到的問題及解決方法:問題1、第一次下載仿真時,秒不動,按下清零鍵自動計時,松開又自動清零。 解決方法:在秒的計數(shù)器清零端加一個非門, 這樣當(dāng)一下載到實驗板后,在一秒 后就可以自動計時,且若要清零則按下 Sc按鍵即可。問題2、分始終保持00狀態(tài),秒的進位不能使它變化,校分也不起作用。后來 老師檢查后才發(fā)現(xiàn),這個問題產(chǎn)生的原因是,我的六十進制計數(shù)器是置“1”時清零,而頂層電路圖上卻是設(shè)計的“ 0”時清零,故錯把清零端接至了“ vcc” . 解決方法:將分的清零端的“ vcc”改為“gnd” ,再次下載時,分位就可以動了。 問題3、不知道如何設(shè)置鬧鐘設(shè)定與正常計時狀態(tài)的顯示切換。解決方法:通過上網(wǎng)搜索以及詢問同學(xué),發(fā)現(xiàn)了再加一個開關(guān)用來控制不同模 塊的顯示。七、心得體會:在此次的多功能數(shù)字鐘設(shè)計過程中, 讓我看到理論與實踐的差別和聯(lián)系,理 論固然重要,然而我們要在實踐中發(fā)現(xiàn)錯誤,并解決錯誤,提高自己的動手能力 和實際解決問題的能力。同時,此次設(shè)計也鍛煉了自己獨立思考問題的能力和通過查看相關(guān)資料來解 決問題的習(xí)慣。雖然這只是一次簡單的課程
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 九年級綜合實踐教學(xué)設(shè)計:雕刻
- 人教2011課標版地理七年級下:6.1 地理和范圍 教學(xué)設(shè)計
- Module4大單元整體備課 (教學(xué)設(shè)計)-2023-2024學(xué)年外研版(三起)英語四年級下冊
- 二年級數(shù)學(xué)計算題專項練習(xí)
- 二年級數(shù)學(xué)(上)計算題專項練習(xí)匯編
- 技術(shù)成果獎勵申報管理制度
- 2025至2030年中國自行車旗數(shù)據(jù)監(jiān)測研究報告
- 2025年中國西施鍋市場調(diào)查研究報告
- 2024-2025學(xué)年下學(xué)期高一物理教科版同步經(jīng)典題精練之功率
- 活動開展策劃方案范文7篇
- 原發(fā)性高血壓護理措施
- 人工智能基礎(chǔ)(Python實現(xiàn))-課件 第8章 生成式大模型應(yīng)用
- 2024年安徽寧馬投資有限責(zé)任公司招聘10人筆試參考題庫附帶答案詳解
- 紀檢監(jiān)察審查調(diào)查業(yè)務(wù)培訓(xùn)
- 《變頻器原理及應(yīng)用》課件
- 攝像服務(wù)行業(yè)品牌建設(shè)研究-深度研究
- 人像攝影基礎(chǔ)課件
- 網(wǎng)絡(luò)安全題庫及答案(1000題)
- 《招標投標法》知識學(xué)習(xí)考試題庫300題(含答案)
- 城市環(huán)境衛(wèi)生各類人員配備定額
- 2025年北京農(nóng)商銀行招聘筆試參考題庫含答案解析
評論
0/150
提交評論