版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、學習好資料歡迎下載紅色是考的(大題)考的課后作業(yè)4-3 和4-5書上 8-1 序列檢測一. 選擇填空 (每題 4 分,共 40 分)1.MAX7000結構中包含五個主要部分,即邏輯陣列塊、宏單元、擴展乘積項(共享和并聯(lián))、可編程連線陣列、 I/O 控制塊 。2EDA 的設計輸入主要包括(原理圖輸入) 、狀態(tài)圖輸入、波形圖輸入和HDL 文本輸入。3. 當前最流行的并成為IEEE 標準的硬件描述語言包括具VHDL和Verilog。4. 常用 EDA 工具大致可分為設計輸入編輯器、HDL 綜合器、 仿真器、 適配器和 下載器 5 個模塊。5. CPLD 結構特點為 以乘積項結構方式構成邏輯行為;FP
2、GA 結構特點為以查表法結構方式構成邏輯行為。7. VHDL 中最常用的庫是IEEE標準庫,最常用的程序包是STD-LOGIC-1164程序包。常用的四種庫是IEEE 庫、 STD 庫、 WORK 庫及 VITAL 庫。 IEEE 庫是 VHDL 設計中最常用的庫,它包含有 IEEE 標準的程序包和其他一些支持工業(yè)標準的程序包。8. VHDL 程序的基本結構由、和組成。9. 基于 EDA軟件的 FPGA / CPLD設計流程為:原理圖 /HDL 文本輸入功能仿真綜合適配時序仿真編程下載硬件測試。10. 源文件保存時,建議文件名盡可能與該程序的實體名保持一致。11. 資源優(yōu)化可以分為資源共享,
3、邏輯優(yōu)化、串行化。速度優(yōu)化分為流水線設計 ,寄存器配平和關鍵路徑法。12. 三類數(shù)據(jù)對象:變量、常量和信號。13. 在 VHDL 中有邏輯操作符、關系操作符、算術操作符和符號操作符四類操作符,如果邏輯操作符左邊和右邊值的類型為數(shù)組, 則這兩個數(shù)組的尺寸, 即位寬要相等。 在一個表達式中有兩個以上的算符時,需要使用括號將這些運算分組。如果一串運算中的算符相同,且是AND 、OR、XOR 這三個算符中的一種,則不需要使用括號。14. 時序電路產生的條件:利用不完整的條件語句的描述。15. 結構體中的可綜合的 并行語句主要有七種: 并行信號賦值、進程、塊語句、條件信號語句、元件例化語句、生成語句和并
4、行過程調用語句。 順序語句有賦值語句、 流程控制語句、 等待語句、子程序調用語句、返回語句和空操作語句。16. 順序語句只能出現(xiàn)在進程中,子程序包括函數(shù)和過程。并行語句不放在進程中。17. 進程本身是并行語句,但其內部是順序語句2、本質區(qū)別:18. P247 248 自己解決去!19. P248 標志符的命名規(guī)則20. 三種主要的狀態(tài)編碼為 :狀態(tài)位直接輸出型號編碼、一位熱碼編碼和順序編碼。特點P21521. 非法狀態(tài)的產生原因: 1、外界不確定的干擾 2、隨機上電的初始啟動22. VHDL 要求賦值符“ <=” 兩邊的信號的數(shù)據(jù)類型必須一致。學習好資料歡迎下載23. 綜合的概念:將用行
5、為和功能層次表達的電子系統(tǒng)轉換為低層次的便于具體實現(xiàn)的模塊組合裝配的過程。二、名詞解釋EDA :Electronic Design Automation電子設計自動化LPM : Library of ParameterizedMODULES 可編程模塊庫JTAG:聯(lián)合測試行動小組PCB:印刷電路板CPLD:復雜可編程邏輯器件HDL :硬件描述語言FSM:有限狀態(tài)機SOPC:單芯片可編程系統(tǒng)LUT :查找表UART :串口(通用異步收發(fā)器)LGMCPLD:可編程邏輯器件ISP:在系統(tǒng)編程RTL:寄存器傳輸級IEEE:電子電氣工程師協(xié)會EAB :嵌入式陣列塊考的: VHDL :超高速集成電路SOC
6、:單芯片系統(tǒng)硬件描述語言GAL :通用邏輯陣列器件ASIC :專用集成電路IP:知識產權核三選擇題21.IP 核在 EDA技術和開發(fā)中具有十分重要的地位,IP 分軟 IP 、固 IP 、硬 IP ;下列所描述的IP 核中,對于硬 IP 的正確描述為 _。a) 提供用 VHDL等硬件描述語言描述的功能塊, b) 但不 c) 涉及實現(xiàn)該功能塊的具體電路;d) 提供設計的最總產品 - 掩膜;e) 以網(wǎng)表文件的形式提交用戶, f)完成了綜合的功能塊;MAX7000結構中包含五個主要部分, 即邏輯陣列塊、 宏單元、擴展乘積項(共享和并聯(lián))、可編程連線陣列、 I/O 控制塊。電子系統(tǒng)設計優(yōu)化, 主要考慮提
7、高資源利用率減少功耗 - 即面積優(yōu)化,以及提高運行速度 - 即速度優(yōu)化;1、IP 核在 EDA技術和開發(fā)中具有十分重要的地位;提供用VHDL等硬件描述語言描述的功能塊,但不涉及實現(xiàn)該功能塊的具體電路的IP 核為 _。 AA. 軟IPB.固 IPC.硬 IPD.都不是、2、下列狀態(tài)機的狀態(tài)編碼, _方式有“輸出速度快、難以有效控制非法狀態(tài)出現(xiàn)”這個特點。AA狀態(tài)位直接輸出型編碼記處B一位熱碼編碼C 順序編碼D格雷編碼 2大規(guī)模可編程器件主要有 FPGA 、 CPLD 兩類,下列對 FPGA 結6下列 EDA 軟件中,哪一個不具有邏輯綜合功能:_。B構與工作原理的描述中,正確的是 _C_。Max+
8、Plus II B.ModelSimA.FPGA 是基于乘積項結構的可編程邏輯器件;Quartus II D.SynplifyB.FPGA 是全稱為復雜可編程邏輯器件;7.IP 核在 EDA技術和開發(fā)中具有十分重要的地位,IP分軟 IP 、固 IP、C.基于 SRAM的 FPGA器件,在每次上電后必須進行一次配置;硬 IP ;下列所描述的 IP 核中,對于硬 IP 的正確描述為 _。D.在 Altera 公司生產的器件中, MAX7000系列屬 FPGA 結構。A.提供用 VHDL等硬件描述語言描述的功能塊, B.但不C.進程中的變量賦值語句,其變量更新是_。 A涉及實現(xiàn)該功能塊的具體電路;A
9、. 立即完成; B.按順序完成;D.提供設計的最總產品 - 模型庫;C. 在進程的最后完成; D. 都不對。C. 以網(wǎng)表文件的形式提交用戶,完成了綜合的功能塊;VHDL 語言是一種結構化設計語言;一個設計實體(電路模塊)包D. 都不是。括實體與結構體兩部分,結構體描述_。 D8. 下面對利用原理圖輸入設計方法進行數(shù)字電路系統(tǒng)設計,那一種B. 器件外部特性; B.器件的綜合約束;說法是不正確的 _C_。C.器件外部特性與內部功能; D. 器件的內部功能。A. 原理圖輸入設計方法直觀便捷,但不適合完成較大規(guī)模的電路系下列標識符中, _是不合法的標識符。 B統(tǒng)設計;A. State0 B. 9moo
10、nC. Not_Ack_0 D. signallB. 原理圖輸入設計方法一般是一種自底向上的設計方法;關于 VHDL 中的數(shù)字,請找出以下數(shù)字中最大的一個:_。C. 原理圖輸入設計方法無法對電路進行功能描述;AD. 原理圖輸入設計方法也可進行層次化設計。C. 2#1111_1110#B.8#276#9. 下面對利用原理圖輸入設計方法進行數(shù)字電路系統(tǒng)設計,哪一種C.10#170#D.16#E#E1說法是正確的: _B學習好資料歡迎下載A原理圖輸入設計方法直觀便捷,很適合完成較大規(guī)模的電工作庫: _D路系統(tǒng)設計AIEEE庫 BVITAL庫C STD庫 D WORK工作庫B原理圖輸入設計方法一般是一
11、種自底向上的設計方法18.下列 4個 VHDL標識符中正確的是: _ BC原理圖輸入設計方法無法對電路進行功能描述A 10#128# B 16#E#E1D原理圖輸入設計方法不適合進行層次化設計C 74HC124D X_1610. 在一個 VHDL設計中 idata 是一個信號, 數(shù)據(jù)類型為 integer,數(shù)據(jù)19.下列語句中,不屬于并行語句的是: _ B范圍 0 to 127 ,下面哪個賦值語句是正確的 _。A進程語句BCASE語句E. idata := 32; B.idata <= 16#A0#;C元件例化語句D WHEN ELSE 語句C.idata <= 16#7#E1;D
12、.idata := B#1010#;20.大規(guī)??删幊唐骷饕蠪PGA、 CPLD 兩類,下列對 FPGA 結構11. 大規(guī)??删幊唐骷饕?FPGA、CPLD兩類,下列對 CPLD結構與工與工作原理的描述中,正確的是_C_。作原理的描述中,正確的是:_ D_F.FPGA全稱為復 G. 雜可編程邏輯器件;A. CPLD是基于查找表結構的可編程邏輯器件H.FPGA是基于乘積項結構的可編程邏輯器件;B. CPLD即是現(xiàn)場可編程邏輯器件的英文簡稱I .基于 SRAM的FPGA器件, J. 在每次上電后必須進行一次C. 早期的 CPLD是從 FPGA的結構擴展而來配置;D. 在Xilinx 公司生
13、產的器件中, XC9500系列屬 CPLD結構D在Altera公司生產的器件中, MAX7000系列屬 FPGA 結構。12. 基于 VHDL設計的仿真包括有門級時序仿真、行為仿真、 功21.進程中的信號賦值語句,其信號更新是_C_。能仿真和前端功能仿真這四種,按照自頂向下的設計流程,其先K.按順序完成; B. 比變量更快完成;后順序應該是: _DC. 在進程的最后完成; D. 都不對。AB. C22.不完整的 IF 語句,其綜合結果可實現(xiàn)_。ADA. 時序邏輯電路B. 組合邏輯電路13.IP 核在 EDA技術和開發(fā)中具有十分重要的地位,IP 分軟 IP、固IP 、C. 雙向電路D. 三態(tài)控制
14、電路硬IP ;下列所描述的 IP 核中,對于固 IP 的正確描述為: _DA提供用 VHDL等硬件描述語言描述的功能塊,但不涉及實現(xiàn)該功能塊的具體電路B提供設計的最總產品模型庫C以可執(zhí)行文件的形式提交用戶,完成了綜合的功能塊D都不是14. 在 VHDL語言中,下列對進程( PROCESS)語句的語句結構及語法規(guī)則的描述中,不正確的是 _。A.PROCESS為一無限循環(huán)語句; 敏感信號發(fā)生更新時啟動進程,執(zhí)行完成后,等待下一次進程啟動。B. 敏感信號參數(shù)表中,不一定要列出進程中使用的所有輸入信號;C.進程由說明部分、結構體部分、和敏感信號三部分組成;D.當前進程中聲明的變量不可用于其他進程。15
15、. 在 VHDL語言中,下列對進程( PROCESS)語句的語句結構及語法規(guī)則的描述中,不正確的是:_DAPROCESS為一無限循環(huán)語句B敏感信號發(fā)生更新時啟動進程,執(zhí)行完成后,等待下一次進程啟動C當前進程中聲明的變量不可用于其他進程D進程由說明語句部分、并行語句部分和敏感信號參數(shù)表三部分組成16. 對于信號和變量的說法,哪一個是不正確的:_A A信號用于作為進程中局部數(shù)據(jù)存儲單元B變量的賦值是立即完成的23. 嵌套的 IF 語句,其綜合結果可實現(xiàn) _ D_ 。A .條件相與的邏輯B .條件相或的邏輯C.條件相異或的邏輯D.三態(tài)控制電路26.在狀態(tài)機的具體實現(xiàn)時,往往需要針對具體的器件類型來選
16、擇合適的狀態(tài)機編碼。對于 A.FPGAB.CPLD兩類器件:一位熱碼狀態(tài)機編碼方式適合于_A_ 器件;順序編碼狀態(tài)機編碼方式適合于_B_ 器件;28. 在 一 個 VHDL 設 計 中 Idata 是 一 個 信 號 , 數(shù) 據(jù) 類 型 為std_logic_vector ,試指出下面那個賦值語句是錯誤的。DA .idata<=“00001111” ;B.idata<=b”0000_1111” ;C. idata <= X”AB”;D . idata <= B”21”;29. 在VHDL語言中,下列對時鐘邊沿檢測描述中,錯誤的是_D_。A. if clkevent an
17、d clk = 1 thenB. if falling_edge(clk) thenC. if clkevent and clk = 0 thenD.if clk stable and not clk =1 then30. 請指出 Altera Cyclone 系列中的EP1C6Q240C8 這個器件是屬于_C_A. ROM B. CPLD C. FPGA D.GALIP 核在 EDA技術和開發(fā)中具有十分重要的地位;提供用 VHDL等硬件描述語言描述的功能塊,但不涉及實現(xiàn)該功能塊的具體電路的IP核為 _。DC信號在整個結構體內的任何地方都能適用A . 瘦IPB.固IPC.胖IPD.都不是D變量
18、和信號的賦值符號不一樣綜合是 EDA設計流程的關鍵步驟, 在下面對綜合的描述中,_17.VHDL語言共支持四種常用庫,其中哪種庫是用戶的VHDL設計現(xiàn)行是錯誤的。 D學習好資料歡迎下載A. 綜合就是把抽象設計層次中的一種表示轉化成另一種表示的過C. 為實現(xiàn)系統(tǒng)的速度、面積、性能的要求,需要對綜合加以約束,程;稱為強制綜合。B. 綜合就是將電路的高級語言轉化成低級的,可與FPGA/ CPLD的基D. 綜合可理解為,將軟件描述與給定的硬件結構用電路網(wǎng)表文件表本結構相映射的網(wǎng)表文件;示的映射過程,并且這種映射關系是唯一的;C.為實現(xiàn)系統(tǒng)的速度、面積、性能的要求,需要對綜合加以約束,綜合是 EDA 設
19、計流程的關鍵步驟,綜合就是把抽象設計層次中的一稱為綜合約束;種表示轉化成另一種表示的過程;在下面對綜合的描述中,D.綜合可理解為,將軟件描述與給定的硬件結構用電路網(wǎng)表文件表_D_ 是錯誤的。示的映射過程, 并且這種映射關系是唯一的 (即綜合結果是唯一的) 。A . 綜合就是將電路的高級語言轉化成低級的,可與FPGA /綜合是 EDA設計流程的關鍵步驟, 綜合就是把抽象設計層次中的一種CPLD 的基本結構相映射的網(wǎng)表文件;表示轉化成另一種表示的過程; 在下面對綜合的描述中, _B. 為實現(xiàn)系統(tǒng)的速度、 面積、性能的要求, 需要對綜合加以約是正確的。束,稱為綜合約束;A. 綜合就是將電路的高級語言
20、轉化成低級的,可與FPGA/ CPLD的基C. 綜合可理解為, 將軟件描述與給定的硬件結構用電路網(wǎng)表文本結構相映射的網(wǎng)表文件;件表示的映射過程,并且這種映射關系不是唯一的。B.綜合是純軟件的轉換過程,與器件硬件結構無關;D.綜合是純軟件的轉換過程,與器件硬件結構無關17 上升沿和下降沿檢測?18 函數(shù)和過程的本質區(qū)別:函數(shù)有返回值,過程沒有返回值。19 進程和信號的?三 .(20 分)簡答:請用 VHDL 中的 if 語句描述一個 D 觸發(fā)器四 .(30 分)設計: 8 選 1 數(shù)據(jù)選擇器,用 VHDL 寫出源程序。其中: D7 D0 是數(shù)據(jù)輸入端, S2、 S1 和 S0 是控制輸入端, Y
21、 是數(shù)據(jù)輸出端。當 S2、S1、S0=“000”時,D0 數(shù)據(jù)被選中,輸出 Y=D0 ;當 S2、S1、S0=“001”時, D1 數(shù)據(jù)被選中,輸出 Y=D1 ,以次類推。程序填空題(類似)下面程序是帶異步復位、同步置數(shù)和移位使能的8位右移移位寄存器的VHDL 描述,試補充完整。library ieee;use IEEE.STD-LOGIC-1165 .all;entity sreg8b isport (clk, rst : instd_logic;load,en: instd_logic;din: in STD_LOGIC_VECTOR(7 downto 0);qb: out std_log
22、ic);end sreg8b;architecture behav ofSREG8Bissignal reg8: std_logic_vector( 7 downto 0);beginprocess (clk, RST , load, en)beginif rst='1' then異步清零reg8 <= (OTHERS=>'0') ;elsifCLK'EVENT AND CLK='1'then邊沿檢測if load = '1' then同步置數(shù)elsifen='1' then移位使能學習好資料歡
23、迎下載reg8(6 downto 0) <= reg8(7 downto 1) ;end if;_end if _;end process;qb <= _reg8(0)_;輸出最低位end behav;序列檢測答案library ieee;entity se isport(din,clk,clrab:in std_logic;:out std_logic);end se;architecture behav of se istype fsm_st is (s0,s1,s2,s3,s4);signal cstate,nstate : fsm_st;beginreg:process(c
24、lr,clk)beginif clr='1' then cstate <= s0; -ab <= '0'elsif clk = '1' and clk'event thencstate <= nstate;end if;學習好資料歡迎下載end process;com:process(cstate,din)begincase cstate iswhen s0 => if din = '1' then nstate <= s1;else nstate <= s0;end if;ab <
25、;= '0'when s1 => if din = '1' then nstate <= s2;else nstate <= s0;end if;ab <= '0'when s2 => if din = '1' thennstate <= s2;elsenstate <= s3;end if;ab <= '0'when s3 => if din = '1' then nstate <= s4;else nstate <= s0;end
26、 if;ab <= '0'學習好資料歡迎下載when s4 => if din = '1' then nstate <= s1;else nstate <= s0;end if;ab <= '1'end case;end process;end behav;4-3.圖 3-31 所示的是雙 2 選 1 多路選擇器構成的電路試在一個結構體中用兩個進程來表達此電路,每個進程中用MUXK,對于其中 MUX21A,當 s='0'CASE語句描述一個2 選和 '1' 時,分別有 y<=
27、39;a'1 多路選擇器MUX21A。和 y<='b'。4-3. 答案LIBRARY IEEE;ENTITY MUX221 ISPORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); - 輸入信號s0,s1:IN STD_LOGIC;outy:OUT STD_LOGIC);-輸出端END ENTITY;ARCHITECTURE ONE OF MUX221 ISSIGNAL tmp : STD_LOGIC;BEGINPR01:PROCESS(s0)BEGINIF s0= ”0” THEN tmp<=a2;ELSE tmp&
28、lt;=a3;END IF;END PROCESS;PR02:PROCESS(s1)BEGINIF s1= ”0” THEN outy<=a1;ELSE outy<=tmp;END IF;END PROCESS;END ARCHITECTURE ONE;END CASE;4-5. 給出 1 位全減器的 VHDL 描述。要求:(1) 首先設計 1 位半減器,然后用例化語句將它們連接起來,圖4-20 中 h_suber是半減器, diff 是輸出差,s_out 是借位輸出, sub_in 是借位輸入。(2) 以 1 位全減器為基本硬件, 構成串行借位的 8 位減法器,要求用例化語句來完成此項設計 (減法運算是 x y - sun_in = diffr) 。學習好資料歡迎下載(1)先設計一個半減器Entity h_suber isPort(x,y:in std_logic;diff,s_out:out std_logic);end h_suber;Architecture behav of h_suber isBeginprocess(x,y)b
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 圍棋在線解析課程設計
- 成功領導力的培養(yǎng)與提升
- 中國經(jīng)濟新常態(tài)下的產業(yè)機遇
- 如何進行內容創(chuàng)新
- 工作報告寫作技巧與實踐
- 托班小狗課程設計
- 供電配電課程設計
- 會議組織與管理的匯報教程
- 全球游戲產業(yè)發(fā)展趨勢及市場分析
- 中國智能制造發(fā)展報告
- 配網(wǎng)規(guī)劃建設匯報
- 大學生個人職業(yè)生涯規(guī)劃課件模板
- 餐飲行業(yè)智能點餐與外賣系統(tǒng)開發(fā)方案
- 2024-2025學年九年級數(shù)學上學期期末考試卷
- 《中式家具設計》課件
- 2024年共青團入團考試題庫及答案
- 中國稅制學習通超星期末考試答案章節(jié)答案2024年
- 中國心力衰竭診斷和治療指南2024解讀(完整版)
- 醫(yī)學教程 《小兒腹瀉》課件
- 期末練習(試題)-2024-2025學年譯林版(三起)(2024)英語三年級上冊
- 2024消防維保投標文件模板
評論
0/150
提交評論