版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、唐 山 學(xué) 院 EDA技術(shù) 課 程 設(shè) 計(jì) 題 目 出租車計(jì)費(fèi)器設(shè)計(jì) 系 (部) 信息工程系 班 級(jí) 10通信工程2班 姓 名 魏漢武 學(xué) 號(hào) 指導(dǎo)教師 申彥春、姚明林 2012 年 12 月 24 日至 12 月 28 日 共 1 周 EDA技術(shù) 課程設(shè)計(jì)任務(wù)書一、設(shè)計(jì)題目、內(nèi)容及要求 設(shè)計(jì)題目:出租車計(jì)費(fèi)器設(shè)計(jì)內(nèi)容及要求:(1)按行駛里程收費(fèi),起步價(jià)為6.00元,當(dāng)里程小于3公里時(shí),按照起步價(jià)計(jì)費(fèi),車行駛超過3公里后按1.2元/公里收費(fèi),停車等待累積時(shí)間超過2分鐘,按照每分鐘1.5元計(jì)費(fèi)。(2)實(shí)現(xiàn)車輛行駛的模擬:能模擬汽車的啟動(dòng),停止,暫停等狀態(tài)。(3)計(jì)費(fèi)器顯示部分設(shè)計(jì):用LED數(shù)碼管
2、實(shí)時(shí)顯示車費(fèi)和汽車行駛里程,用兩位數(shù)字顯示汽車行駛里程,顯示方式為“XX”,單位為km。計(jì)程范圍為099km,計(jì)程分辨率為1km;用五位數(shù)字顯示總費(fèi)用,顯示方式為“XXX.X”,單價(jià)為元。計(jì)價(jià)范圍為0999.9元,計(jì)價(jià)分辨率為0.1元。設(shè)計(jì)要求:(1)根據(jù)任務(wù)要求確定電路各功能模塊; (2)寫出設(shè)計(jì)程序;(3)分析時(shí)序仿真結(jié)果; (4)提交設(shè)計(jì)總結(jié)。二、設(shè)計(jì)原始資料 Quartus軟件;EDA實(shí)驗(yàn)箱;計(jì)算機(jī)一臺(tái);三、要求的設(shè)計(jì)成果(課程設(shè)計(jì)說明書、設(shè)計(jì)實(shí)物、圖紙等)課程設(shè)計(jì)說明書1份,不少于2000字,應(yīng)包含設(shè)計(jì)原理分析、相關(guān)軟件介紹、仿真波形分析,實(shí)驗(yàn)箱下載驗(yàn)證等。四、進(jìn)程安排周1-周3:
3、查閱資料,上機(jī)編寫并調(diào)試設(shè)計(jì)程序;周4:整理、撰寫說明書;周5:課程設(shè)計(jì)答辯并提交設(shè)計(jì)說明書。五、主要參考資料1.Voknei A.Pedroni.VHDL數(shù)字電路設(shè)計(jì)教程.電子工業(yè)出版社,2008.52.潘松,黃繼業(yè).EDA技術(shù)實(shí)用教程(第二版).科學(xué)出版社,2005.23.焦素敏.EDA應(yīng)用技術(shù).清華大學(xué)出版社,2002.4指導(dǎo)教師(簽名):教研室主任(簽名):課程設(shè)計(jì)成績(jī)?cè)u(píng)定表出勤情況出勤天數(shù) 缺勤天數(shù)成績(jī)?cè)u(píng)定出勤情況及設(shè)計(jì)過程表現(xiàn)(20分)課設(shè)答辯(20分)設(shè)計(jì)成果(60分)總成績(jī)(100分)提問(答辯)問題情況綜合評(píng)定 指導(dǎo)教師簽名: 年 月 日目 錄1引言12出租車計(jì)價(jià)器設(shè)計(jì)22.
4、1出租車計(jì)價(jià)器的設(shè)計(jì)原理22.2各模塊功能模塊設(shè)計(jì)32.2.1分頻模塊32.2.2計(jì)量模塊32.2.3控制模塊32.2.4計(jì)費(fèi)模塊42.2.5譯碼顯示模塊42.2.6頂層模塊42.3硬件仿真53總結(jié)6參考文獻(xiàn)7附錄81引言本設(shè)計(jì)是一個(gè)簡(jiǎn)易的出租車計(jì)費(fèi)系統(tǒng),實(shí)現(xiàn)計(jì)價(jià)功能,計(jì)費(fèi)標(biāo)準(zhǔn)為按行程里程收費(fèi),起步價(jià)為6.00元,當(dāng)里程小于3公里時(shí),按照起價(jià)計(jì)費(fèi),車行超過3公里后在按1.2元/公里收費(fèi),等待累積時(shí)間超過2min,按照每分鐘1.5元計(jì)費(fèi)。能模擬汽車的啟動(dòng),停止,暫停等狀態(tài)。并用LED數(shù)碼管實(shí)時(shí)顯示車費(fèi)和汽車行駛里程,用兩位數(shù)字顯示汽車行駛里程,顯示方式為“XX”,單位為km。計(jì)程范圍為099km
5、,計(jì)程分辨率為1km;用五位數(shù)字顯示總費(fèi)用,顯示方式為“XXX.X”,單價(jià)為元。計(jì)價(jià)范圍為0999.9元,計(jì)價(jià)分辨率為0.1元。此計(jì)費(fèi)器要設(shè)有一個(gè)由司機(jī)控制的整體復(fù)位控制。本設(shè)計(jì)采用VHDL硬件描述語言作為設(shè)計(jì)手段,采用自頂向下的設(shè)計(jì)思路,得到一種出租車計(jì)價(jià)系統(tǒng)的軟件結(jié)構(gòu),通過Quartus軟件下進(jìn)行仿真,證明所設(shè)計(jì)的電路系統(tǒng)完成了出租車計(jì)價(jià)的功能,各項(xiàng)指標(biāo)符合設(shè)計(jì)要求。 本次課程設(shè)計(jì)可以熟練掌握了課本上的一些理論知識(shí),課程設(shè)計(jì)也是一個(gè)學(xué)習(xí)新知識(shí)、鞏固加深所學(xué)課本理論知識(shí)的過程,它培養(yǎng)了我們綜合運(yùn)用知識(shí)的能力,獨(dú)立思考和解決問題的能力。加深我們對(duì)VHDL數(shù)字電路設(shè)計(jì)教程的理解。 2出租車計(jì)價(jià)器
6、設(shè)計(jì)本設(shè)計(jì)是一種采用FPGA芯片進(jìn)行出租車計(jì)費(fèi)器,主要分為分頻模塊、計(jì)量模塊、計(jì)費(fèi)模塊、控制模塊等模塊,利用FPGA的可編程性,簡(jiǎn)潔又多變的設(shè)計(jì)方法,縮短了研發(fā)周期。主要采用了FPGA芯片,使用VHDL語言進(jìn)行編程,使其具有了更強(qiáng)的移植性,更加利于產(chǎn)品的升級(jí)。2.1出租車計(jì)價(jià)器的設(shè)計(jì)原理根據(jù)設(shè)計(jì)要求,系統(tǒng)的輸入信號(hào)clk,計(jì)價(jià)開始信號(hào)Start,等待信號(hào)Stop,里程脈沖信號(hào)fin。系統(tǒng)得輸出信號(hào)有:總費(fèi)用數(shù)cha0 cha3,行駛距離km0 km1,等待時(shí)間min0 min1 等。系統(tǒng)有兩個(gè)脈沖輸入信號(hào)clk_120、fin,其中clk_120將根據(jù)設(shè)計(jì)要求分頻成12Hz、15Hz和1Hz分
7、別作為公里計(jì)費(fèi)和超時(shí)計(jì)費(fèi)的脈沖。兩個(gè)控制輸入開關(guān)start、stop;控制過程為:start作為計(jì)費(fèi)開始開關(guān),當(dāng)start為高電平時(shí),系統(tǒng)開始根據(jù)輸入的情況計(jì)費(fèi)。當(dāng)有乘客上車并開始行駛時(shí),fin脈沖到來,進(jìn)行行駛計(jì)費(fèi),此時(shí)的stop需要置為0;如需停車等待,就把stop變?yōu)楦唠娖?,并去除fin輸入脈沖,進(jìn)行等待計(jì)費(fèi);當(dāng)乘客下車且不等待時(shí),將stop和fin同時(shí)置為0,來讀取最終的行駛路程數(shù)、等待時(shí)間與最后的總費(fèi)用,直接將start置為0,系統(tǒng)停止工作;價(jià)格開始?xì)w為起步價(jià)6.00元。整個(gè)設(shè)計(jì)由分頻模塊、計(jì)量模塊、計(jì)費(fèi)模塊、控制模塊等四個(gè)部分組成。其中計(jì)量模塊是整個(gè)系統(tǒng)實(shí)現(xiàn)里程計(jì)數(shù)和時(shí)間計(jì)數(shù)的重要
8、部分;控制模塊是實(shí)現(xiàn)不同計(jì)費(fèi)方式的選擇部分,根據(jù)所設(shè)計(jì)的使能端選擇是根據(jù)里程計(jì)費(fèi)還是根據(jù)等待時(shí)間計(jì)費(fèi);同時(shí)設(shè)計(jì)通過分頻模塊產(chǎn)生不同頻率的脈沖信號(hào)來實(shí)現(xiàn)系統(tǒng)的計(jì)費(fèi)。計(jì)量模塊采用1Hz的驅(qū)動(dòng)信號(hào),計(jì)費(fèi)模塊采用12Hz、15Hz的驅(qū)動(dòng)信號(hào);計(jì)量模塊每計(jì)數(shù)一次,計(jì)費(fèi)模塊就實(shí)現(xiàn)12次或者15次計(jì)數(shù),即為實(shí)現(xiàn)計(jì)時(shí)的1.5 元/min、計(jì)程時(shí)的1.2元/km的收費(fèi)。組成框圖如圖2-1所示: 圖2-1 系統(tǒng)結(jié)構(gòu)框圖2.2各模塊功能模塊設(shè)計(jì)2.2.1分頻模塊由于試驗(yàn)箱上沒有12Hz和15Hz的整數(shù)倍時(shí)鐘信號(hào),因此我們采用頻率較大的750khz進(jìn)行分頻,以近似得到12Hz、15Hz和1Hz的時(shí)鐘頻率。本設(shè)計(jì)中通過
9、以上三種不同頻率的脈沖信號(hào)實(shí)現(xiàn)在計(jì)程車在行駛、等待兩種情況下的不同計(jì)費(fèi)。分頻模塊元件如圖2-2所示:圖2-2 分頻模塊元件圖2.2.2計(jì)量模塊計(jì)量模塊主要完成計(jì)時(shí)和計(jì)程功能。計(jì)時(shí)部分:計(jì)算乘客的等待累積時(shí)間,當(dāng)?shù)却龝r(shí)間大于2min時(shí),本模塊中en1使能信號(hào)變?yōu)?;當(dāng)clk1每來一個(gè)上升沿,計(jì)時(shí)器就自增1,計(jì)時(shí)器的量程為59min,滿量程后自動(dòng)歸零。計(jì)程部分:計(jì)算乘客所行駛的公里數(shù),當(dāng)行駛里程大于3km時(shí),本模塊中en0使能信號(hào)變?yōu)?;當(dāng)clk1每來一個(gè)上升沿,計(jì)程器就自增1,計(jì)程器的量程為99km,滿量程后自動(dòng)歸零。Start置1程序始終處于計(jì)費(fèi)狀態(tài),當(dāng)fin脈沖到來時(shí)k0和k1進(jìn)入計(jì)程狀態(tài),
10、且k0每次滿9就向k1進(jìn)位,超過3km時(shí)en0就變?yōu)楦唠娖?;同理,?dāng)stop由0置為1時(shí)開始由計(jì)程進(jìn)入等待狀態(tài),fin脈沖歸為0里程計(jì)數(shù)停止,等待時(shí)間開始計(jì)時(shí),m0每次滿9就向m1進(jìn)位,超過2min時(shí)en1就變?yōu)楦唠娖健?.2.3控制模塊本模塊主要是通過計(jì)量模塊產(chǎn)生的兩個(gè)不同的輸入使能信號(hào)en0、en1,對(duì)兩個(gè)分頻模塊輸出的12Hz、15Hz的脈沖進(jìn)行選擇輸出的過程;本模塊實(shí)現(xiàn)了雙脈沖的二選一;最終目的為了計(jì)費(fèi)模塊中對(duì)行駛過程中不同的時(shí)段進(jìn)行計(jì)價(jià)。2.2.4計(jì)費(fèi)模塊當(dāng)計(jì)費(fèi)信號(hào)Start一直處于高電平即計(jì)費(fèi)狀態(tài)時(shí),本模塊根據(jù)控制模塊選擇出的信號(hào)從而對(duì)不同單價(jià)的時(shí)段進(jìn)行計(jì)費(fèi)。即行程在3km內(nèi),而且
11、等待累積時(shí)間小于2min則為起步價(jià)6元;3km以外每公里按1.2元計(jì)費(fèi),等待時(shí)間超過2min,則按每分鐘1.5元計(jì)費(fèi)。c0、c1、c2、c3分別表示費(fèi)用的顯示。由圖可知當(dāng)處于計(jì)費(fèi)狀態(tài)時(shí),隨著clk2的高電平的到來,計(jì)費(fèi)起步價(jià)為6元。c0滿9向c1進(jìn)位,c1滿9向c2產(chǎn)生進(jìn)位,依次逐級(jí)進(jìn)位從而完成計(jì)費(fèi)功能。2.2.5譯碼顯示模塊譯碼顯示模塊完成計(jì)價(jià)、計(jì)時(shí)和計(jì)程數(shù)據(jù)顯示。計(jì)費(fèi)數(shù)據(jù)送入譯碼顯示模塊進(jìn)行譯碼,最后送至以百元、十元、元、角為單位對(duì)應(yīng)的數(shù)碼管上顯示。計(jì)時(shí)數(shù)據(jù)送入譯碼顯示模塊進(jìn)行譯碼,最后送至以分為單位對(duì)應(yīng)的數(shù)碼管上顯示。計(jì)程數(shù)據(jù)送入譯碼顯示模塊進(jìn)行譯碼,最后送至以km為單位的數(shù)碼管上顯示。
12、由于本次課程設(shè)計(jì)所用實(shí)驗(yàn)箱上自帶數(shù)碼管譯碼顯示電路,因此不需再寫譯碼程序,選定模式直接管腳鎖定在對(duì)用的數(shù)碼管上即可實(shí)現(xiàn)。2.2.6頂層模塊本模塊用圖形輸入法實(shí)現(xiàn)出租車的計(jì)費(fèi)器系統(tǒng)設(shè)計(jì),將各個(gè)模塊組合在一起。程序最終功能實(shí)現(xiàn)仿真波形如圖2-11所示圖2-11 程序最終仿真波形圖由圖中可以看出,當(dāng)fin脈沖信號(hào)到來時(shí),實(shí)現(xiàn)了行駛計(jì)費(fèi),當(dāng)行駛里程超過三公里,則再按每公里1.2元計(jì)費(fèi);當(dāng)stop為高電平,即進(jìn)入等待計(jì)時(shí)收費(fèi);當(dāng)?shù)却龝r(shí)間超過2min時(shí),每過一分鐘加1.5元,即為實(shí)現(xiàn)每等一分鐘收費(fèi)1.5元。當(dāng)stop為電平時(shí)所有數(shù)值清零,只顯示初始值6元,但本次仿真中等待時(shí)間為9分鐘,行駛里程為20km,
13、應(yīng)收取費(fèi)用值為36.9元。結(jié)果驗(yàn)證:出租車的起步價(jià)是6元,收費(fèi)為6+(20-3)*1.2+(9-2)*1.5=36.9元。仿真結(jié)果于計(jì)算結(jié)果相同,所以仿真結(jié)果正確。2.3硬件仿真在QuartusII軟件中仿真成功,現(xiàn)在下載到試驗(yàn)箱上以驗(yàn)證其功能。實(shí)驗(yàn)中采用萬用模式模式五,程序中各個(gè)端口鎖定到試驗(yàn)箱上對(duì)應(yīng)的管腳下載驗(yàn)證。最后得數(shù)碼管顯示計(jì)時(shí)、計(jì)程和計(jì)費(fèi)數(shù)據(jù)如圖2-13所示:圖2-13 硬件仿真結(jié)果由上可知等待時(shí)間為4分鐘,行駛公里數(shù)為4公里。根據(jù)設(shè)計(jì)要求計(jì)算所得費(fèi)用為:6元+(4km-3km)*1.2元/km+(4min-2min)*1.5元/min=6+1.2+3=10.2元,與試驗(yàn)箱上所得結(jié)
14、果相同,仿真下載成功。3總結(jié)通過為期1周的課程設(shè)計(jì),出租車計(jì)價(jià)器系統(tǒng)的設(shè)計(jì)已基本完成,能按預(yù)期的效果模擬汽車啟動(dòng)、等待、停止、復(fù)位等功能,并顯示車費(fèi)數(shù)目等待時(shí)間及行駛路程。出租車計(jì)費(fèi)系統(tǒng)的設(shè)計(jì)中體現(xiàn)了VHDL覆蓋面廣,描述能力強(qiáng),是一個(gè)多層次的硬件描述語言及CPLD器件速度快,使用方便,便于修改等特點(diǎn),本設(shè)計(jì)在實(shí)用方面具有一定的價(jià)值。通過不斷的編寫與嘗試,遇到問題,討論問題,解決問題,使我對(duì)VHDL數(shù)字電路設(shè)計(jì)教程這門學(xué)科有了更系統(tǒng)的認(rèn)識(shí)。在這次課程設(shè)計(jì)中我熟練地掌握了VHDL語言中的并行語句與順序語句的應(yīng)用、區(qū)別與聯(lián)系;從根本上了解了信號(hào)與變量之間的區(qū)別;熟練掌握并應(yīng)用了有限狀態(tài)機(jī)與元件例化
15、的方法;鍛煉了我的獨(dú)立思考能力,培養(yǎng)了我敢于創(chuàng)新的思想:讓我學(xué)會(huì)了團(tuán)結(jié)同學(xué)、互幫互助、在討論中提煉真知。在本次設(shè)計(jì)中還存在很多不足,可以改進(jìn)的地方目前有以下幾點(diǎn):一、該設(shè)計(jì)雖然實(shí)現(xiàn)了基本的計(jì)費(fèi)和計(jì)程,但是很多問題并沒有解決,如本設(shè)計(jì)只實(shí)了一種車速的計(jì)費(fèi)。若要實(shí)現(xiàn)出租車的不同檔位下的計(jì)程計(jì)費(fèi),還需要進(jìn)一步討論。二、該設(shè)計(jì)智能化水平較低,啟動(dòng)、等待、復(fù)位等信號(hào)需要人為輸入,若在實(shí)際中出現(xiàn)操作偏差,會(huì)導(dǎo)致計(jì)費(fèi)不準(zhǔn)確。通過此次課程設(shè)計(jì),我們更進(jìn)一步的深入了解了VHDL設(shè)計(jì)語言,并在使用過程中對(duì)它有了更深的體會(huì)。對(duì)編程過程中可能遇到的問題有了一定的了解和解決方法,在理論學(xué)習(xí)和編程練習(xí)以及硬件測(cè)試方面都獲
16、得了較大的收獲,對(duì)于今后進(jìn)行程序設(shè)計(jì)有很大的幫助。最后在此再次向帶領(lǐng)我們這次課程設(shè)計(jì)的老師說聲:謝謝! 參考文獻(xiàn)1.Voknei A.Pedroni.VHDL數(shù)字電路設(shè)計(jì)教程.電子工業(yè)出版社,2008.52.潘松,黃繼業(yè).EDA技術(shù)實(shí)用教程(第二版).科學(xué)出版社,2005.23 孟慶海,張洲.VHDL基礎(chǔ)及經(jīng)典實(shí)例開發(fā).西安:西安交通大學(xué)出版社,2008.44 劉江海.EDA技術(shù)課程設(shè)計(jì).華中科技大學(xué)出版社,2009.55 蔣小燕,余偉鈞,張立臣. EDA技術(shù)及VHDL.2008.12.6 焦素敏.EDA應(yīng)用技術(shù).清華大學(xué)出版社,2002.4附錄-分頻模塊源程序:library ieee;us
17、e ieee.std_logic.1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity fenpin isport ( clk_240:in std_logic; -系統(tǒng)時(shí)鐘 clk_12:buffer std_logic; -12分頻 clk_15:buffer std_logic; -15分頻 clk_1:buffer std_logic); -1分頻end fenpin;architecture fenpin of fenpin is signal q_12:integer range 0
18、 to 9; - 定義中間信號(hào)量 signal q_15:integer range 0 to 7; signal q_1:integer range 0 to 119; begin process(clk_240) beginif (clk_240event and clk_240=1 )then if q_12=9 then q_12=0;clk_12=not clk_12; else q_12=q_12+1; end if; -得12hz頻率信號(hào)if q_15=7 then q_15=0;clk_15=not clk_15; else q_15=q_15+1; end if; -得15h
19、z頻率信號(hào)if q_1=119 then q_1=0;clk_1=not clk_15; else q_1=q_1+1;end if; -得1hz頻率信號(hào) end if; end process;end fenpin;-計(jì)量模塊源程序:library ieee;use ieee.std_logic.1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jiliang isport( start: in std_logic; -計(jì)費(fèi)開始信號(hào) fin: in std_logic; -里程脈沖信號(hào)st
20、op: in std_logic; -行駛中,中途等待信號(hào)clk_1: in std_logic; -驅(qū)動(dòng)脈沖en1,en0:buffer std_logic; -計(jì)費(fèi)單價(jià)使能信號(hào) k1,k0: buffer std_logic_vector(3 downto 0); -行駛公里計(jì)數(shù) m1,m0: buffer std_logic_vector(3 downto 0); -等待時(shí)間計(jì)數(shù)end jiliang;architecture rt2 of jiliang issignal w:integer range 0 to 59; -計(jì)時(shí)范圍059 beginprocess(clk_1)begi
21、nif clk_1event and clk_1=1 then if start=0 then w=0;en1=0;en0=0;m1=0000;m0=0000;k1=0000;k0=0000;elsif stop=1 then -計(jì)時(shí)開始信號(hào) if w=59 then w=0;else w=w+1;end if; if m0=1001 then m0=0000; if m1=0101 then m1=0000;else m1=m1+1;end if;else m0=m0+1;end if; if stop=1 then en0then en1=1; -若等待時(shí)間大于2min則en1置1 els
22、e en1=0; end if;end if;elsif fin=1 then -里程計(jì)數(shù)開始 if k0=1001 then k0=0000; if k1=1001 then k1=0000; -計(jì)程范圍099else k1=k1+1;end if;else k0=k0+1;end if; if stop=0 then en1 then en0=1; -若行駛里程大于3km則en0置1 else en0=0; end if; end if; end if; end if;if stop=0 and fin=0then en0=0;en1=0;end if;end process;end rt
23、2;-控制模塊源程序:library ieee;use ieee.std_logic.1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity kongzhi isport(en0,en1:in std_logic; -使能選擇信號(hào) clk_12:in std_logic; -12分頻輸入信號(hào) clk_15:in std_logic; -15分頻輸入信號(hào) clk_out:out std_logic); -輸出信號(hào) end kongzhi;architecture rt3 of kongzhi isb
24、eginprocess(en0,en1)begin if en0=1 then -實(shí)現(xiàn)二選一功能 clk_out=clk_15; elsif en1=1 then clk_out=clk_12;else clk_out= null; end if; end process;end rt3;-計(jì)費(fèi)模塊源程序library ieee;use ieee.std_logic.1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jifei isport(clk2:in std_logic; -計(jì)費(fèi)驅(qū)動(dòng)信
25、號(hào) start: in std_logic; -計(jì)費(fèi)開始信號(hào) c0,c1,c2,c3: buffer std_logic_vector(3 downto 0);end jifei;architecture rt4 of jifei isbeginprocess(clk2,start)begin if start=0 then c3=0000;c2=0000;c1=0110;c0=0000; -起步價(jià)6元 elsif clk2event and clk2=1 then if c0=1001 then c0=0000; if c1=1001 then c1=0000; if c2=1001 the
26、n c2=0000; if c3=1001 then c3=0000; -計(jì)價(jià)范圍0999.9元 else c3=c3+1; end if; else c2=c2+1; end if; else c1=c1+1; end if; else c0=c0+1; end if; end if;end process;end rt4;library ieee;use ieee.std_logic.1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity chuzuchejifeiqi isport(clk_750k:in std_logic;start:in std_logic;fin:in std_logic;stop:in std_logic);end chuzuchejifeiqi;architecture chuzuchejifeiqi of chuzuchejifeiqi iscomponent finpin isport ( clk_240:in std_logic; -系統(tǒng)時(shí)鐘 clk_12: buffer std_logic; -12分頻 clk_
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 1.1 國(guó)家是什么(導(dǎo)學(xué)案) 高二政治 (統(tǒng)編版選擇性必修1)
- 印刷機(jī)械行業(yè)智能化發(fā)展的市場(chǎng)機(jī)遇分析考核試卷
- 2025年銷售傭金合同范本與業(yè)績(jī)激勵(lì)方案3篇
- 2025版木工行業(yè)培訓(xùn)與認(rèn)證服務(wù)合同范本4篇
- 2025年商業(yè)委托銷售協(xié)議
- 2025年合法住房公租房協(xié)議
- 二零二五年度駕校品牌推廣與市場(chǎng)拓展合作合同2篇
- 2025年度個(gè)人二手車轉(zhuǎn)讓及二手車增值服務(wù)合同3篇
- 二零二五年度林業(yè)苗木繁育基地承包合同4篇
- 二零二五年度集體產(chǎn)權(quán)房屋買賣合同樣本(含房屋產(chǎn)權(quán)調(diào)查及核實(shí)要求)
- 《醫(yī)院財(cái)務(wù)分析報(bào)告》課件
- 2025老年公寓合同管理制度
- 2024-2025學(xué)年人教版數(shù)學(xué)六年級(jí)上冊(cè) 期末綜合卷(含答案)
- 2024中國(guó)汽車后市場(chǎng)年度發(fā)展報(bào)告
- 感染性腹瀉的護(hù)理查房
- 天津市部分區(qū)2023-2024學(xué)年高二上學(xué)期期末考試 物理 含解析
- 《人工智能基礎(chǔ)》全套英語教學(xué)課件(共7章)
- 廢鐵收購(gòu)廠管理制度
- 物品賠償單范本
- 《水和廢水監(jiān)測(cè)》課件
- 滬教版六年級(jí)數(shù)學(xué)下冊(cè)課件【全冊(cè)】
評(píng)論
0/150
提交評(píng)論