SOPC、EDA綜合課程設(shè)計(jì)報(bào)告車載DVD位控系統(tǒng)的設(shè)計(jì)與分析_第1頁
SOPC、EDA綜合課程設(shè)計(jì)報(bào)告車載DVD位控系統(tǒng)的設(shè)計(jì)與分析_第2頁
SOPC、EDA綜合課程設(shè)計(jì)報(bào)告車載DVD位控系統(tǒng)的設(shè)計(jì)與分析_第3頁
SOPC、EDA綜合課程設(shè)計(jì)報(bào)告車載DVD位控系統(tǒng)的設(shè)計(jì)與分析_第4頁
SOPC、EDA綜合課程設(shè)計(jì)報(bào)告車載DVD位控系統(tǒng)的設(shè)計(jì)與分析_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、22江西理工大學(xué)應(yīng)用科學(xué)學(xué)院sopc/eda綜合課程設(shè)計(jì)報(bào)告設(shè)計(jì)題目:車載dvd位控系統(tǒng)的設(shè)計(jì)設(shè) 計(jì) 者: 學(xué) 號: 08060108237班 級: 電氣082指導(dǎo)老師: 完成時(shí)間: 2011年1月14日設(shè)計(jì)報(bào)告綜合測試總評格式(10)內(nèi)容(40)圖表(10)答辯(20)平時(shí)(20) 前言eda技術(shù)作為現(xiàn)代電子設(shè)計(jì)最新技術(shù)的結(jié)晶,其廣闊的應(yīng)用前景和深遠(yuǎn)的影響已毋庸置疑,它在信息工程類專業(yè)中的基礎(chǔ)地位和核心作用也逐漸被人們所認(rèn)識。許多高等學(xué)校開設(shè)了相應(yīng)的課程,并為學(xué)生提供了課程設(shè)計(jì)、綜合實(shí)踐、電子設(shè)計(jì)競賽、畢業(yè)設(shè)計(jì)、科學(xué)研究和產(chǎn)品開發(fā)等eda技術(shù)的綜合應(yīng)用實(shí)踐環(huán)節(jié)。相關(guān)的工程技術(shù)人員也特別重視學(xué)

2、習(xí)eda技術(shù),并渴望提高其工程應(yīng)用能力。 對于迅猛發(fā)展的eda技術(shù)的綜合應(yīng)用,從eda技術(shù)的綜合應(yīng)用系統(tǒng)的深度來分,可分為3個(gè)層次: 功能電路模塊的設(shè)計(jì); 算法實(shí)現(xiàn)電路模塊的設(shè)計(jì); 片上系統(tǒng)/嵌入式系統(tǒng)/現(xiàn)代dsp系統(tǒng)的設(shè)計(jì)。 從eda技術(shù)的綜合應(yīng)用系統(tǒng)的最終主要硬件構(gòu)成來分,已出現(xiàn)6種形式: cpld/fpga系統(tǒng); cpld/fpga+mcu系統(tǒng); cpld/fpga+專用dsp處理器系統(tǒng); 基于fpga實(shí)現(xiàn)的現(xiàn)代dsp系統(tǒng); 基于fpga實(shí)現(xiàn)的soc片上系統(tǒng); 基于fpga實(shí)現(xiàn)的嵌入式系統(tǒng)。 從eda技術(shù)的綜合應(yīng)用系統(tǒng)的完善層次來分,可分為3個(gè)層次:eda綜合系統(tǒng)主體電路的設(shè)計(jì)、仿真及硬

3、件驗(yàn)證;eda綜合系統(tǒng)主體電路的設(shè)計(jì)、仿真、硬件驗(yàn)證+系統(tǒng)外圍電路pcb的設(shè)計(jì)與制作;eda綜合系統(tǒng)主體電路的設(shè)計(jì)、仿真、硬件驗(yàn)證+系統(tǒng)整體電路pcb的設(shè)計(jì)與制作及系統(tǒng)的組裝、調(diào)試。 對于eda技術(shù)的學(xué)習(xí),我認(rèn)為不能只站在一門課程的角度上去學(xué)習(xí),而應(yīng)站在如何真正掌握這一技術(shù)的角度去學(xué)習(xí)。對于具備一定eda技術(shù)基礎(chǔ)的人來說,如何提高自己的實(shí)際應(yīng)用能力,將eda技術(shù)應(yīng)用到各自的專業(yè)領(lǐng)域,解決實(shí)際問題,這才是他們所關(guān)心和急于解決的。提高自己的eda技術(shù)的綜合應(yīng)用能力,不可能一蹴而就,而應(yīng)不斷實(shí)踐,不斷總結(jié)。提高eda技術(shù)綜合應(yīng)用能力的比較快速而有效的辦法就是按照閱讀借鑒消化吸收改進(jìn)創(chuàng)新的步驟去不斷學(xué)

4、習(xí)和實(shí)踐。所謂閱讀借鑒,就是通過閱讀許多實(shí)際設(shè)計(jì)實(shí)例來借鑒別人的設(shè)計(jì)思想;所謂消化吸收,就是通過反復(fù)閱讀許多實(shí)際設(shè)計(jì)實(shí)例,在真正看懂別人的設(shè)計(jì)思想的基礎(chǔ)上自己進(jìn)行模仿實(shí)踐,領(lǐng)會其設(shè)計(jì)思想的實(shí)質(zhì);所謂改進(jìn)創(chuàng)新,就是在模仿實(shí)踐的基礎(chǔ)上,根據(jù)自己的領(lǐng)會提出改進(jìn)的方案或獨(dú)立地提出新的設(shè)計(jì)方案,不斷地實(shí)踐與完善,直到達(dá)到理想的設(shè)計(jì)要求為止。 作為三步學(xué)習(xí)實(shí)踐法的起點(diǎn),就是通過閱讀許多實(shí)際設(shè)計(jì)實(shí)例來借鑒別人的設(shè)計(jì)思想。而作為現(xiàn)代電子設(shè)計(jì)最新技術(shù)的綜合體現(xiàn)的eda技術(shù),由于在我國進(jìn)行教育和研究只有幾年的歷史,因此有關(guān)eda技術(shù)綜合應(yīng)用的書籍和資料太少,即使有也是零星的、分散的,一些與實(shí)踐有關(guān)的問題,往往是點(diǎn)

5、到為止,可操作性比較差。因此,eda技術(shù)的深化教育和eda技術(shù)的廣泛應(yīng)用,亟需eda技術(shù)的綜合應(yīng)用方面的圖書。 本次設(shè)計(jì)報(bào)告,內(nèi)容非常的有限,僅供我們在以后的學(xué)習(xí)中參考!目 錄一、系統(tǒng)設(shè)計(jì)要求: 3二、系統(tǒng)設(shè)計(jì)方案: 5三、主要vhdl源程序: 10四、系統(tǒng)仿真: 20五、設(shè)計(jì)技巧分析:22 六、設(shè)計(jì)心得22 七、參考文獻(xiàn)22一、 系統(tǒng)設(shè)計(jì)要求 根據(jù)某車載移動dvd產(chǎn)品機(jī)械操作分析結(jié)果的要求,具體設(shè)計(jì)如下:1.open_close操作:當(dāng)系統(tǒng)測試到有一個(gè)open_close高電平信號時(shí),系統(tǒng)就驅(qū)動馬達(dá)1(motor11端),顯示屏從機(jī)盒內(nèi)伸出,距離為142 mm,時(shí)間為3 s。水平移動到指定位

6、置后,關(guān)斷馬達(dá)信號motor11。2.自測向上翻轉(zhuǎn)操作(此操作只在正常開機(jī)或關(guān)機(jī)時(shí)使用):開機(jī)時(shí)顯示屏從機(jī)內(nèi)伸出,到達(dá)指定位置,從傳感器sensor1返回一信號,則開通信號motor21,馬達(dá)運(yùn)行時(shí)間為3 s,向上翻轉(zhuǎn)110,從傳感器sensor2返回的信號表明到達(dá)指定位置,切斷motor21信號。開機(jī)過程完成。3.關(guān)機(jī)操作:當(dāng)open_close為低電平時(shí),系統(tǒng)通過相應(yīng)的處理模塊檢測到顯示屏所在位置,然后做出相應(yīng)對策。向下翻轉(zhuǎn)至水平位置后,水平縮進(jìn)機(jī)內(nèi)。4.角度調(diào)整與水平位置調(diào)整操作(tilt調(diào)節(jié)操作):如果tilt信號為高電平,系統(tǒng)先測試其為高電平的時(shí)間寬度。若為2 s時(shí),則調(diào)節(jié)水平位置,

7、共三個(gè)位置,相鄰位置間隔為1.5 cm;若小于2 s時(shí),則調(diào)節(jié)角度,共三個(gè)角度調(diào)節(jié)位置,相鄰角度大小為15。 5.異常情況處理(異常情況就是在某一運(yùn)行過程,由于人為地阻止顯示屏的正常移動,從而造成長時(shí)間的馬達(dá)過載異常):由實(shí)際情況進(jìn)行判斷,如果是異常情況,則關(guān)斷馬達(dá)信號并報(bào)警出錯(cuò);等待open_close低電平的到來,然后返回機(jī)盒內(nèi)。 6.位置識別、異常情況判斷、狀態(tài)顯示、時(shí)間顯示:在系統(tǒng)對馬達(dá)進(jìn)行驅(qū)動控制時(shí),都要進(jìn)行位置識別、異常情況判斷,并把檢測到的信號通過狀態(tài)顯示模塊處理輸出。在每一運(yùn)行過程,輸出模塊均把此時(shí)的時(shí)間顯示出,最小時(shí)間單位為1 s。根據(jù)以上各種操作及要求,我們可得到各種操作的

8、狀態(tài)轉(zhuǎn)換圖如圖1所示,系統(tǒng)的有關(guān)控制時(shí)序如圖2和圖3所示。圖1 各種操作的狀態(tài)轉(zhuǎn)換圖 圖2 行走(142 mm3 s)與翻轉(zhuǎn)(1203 s)控制時(shí)序圖 圖3 角度調(diào)整和水平位置調(diào)整控制時(shí)序圖圖2和圖3中的信號說明如下: open/close:外部開關(guān)操作輸入信號;tilt:角度調(diào)整和水平位置調(diào)整信號輸入端;p00/ ic2.6,p01/ic2.5,p02/ic1.6,p03/ic1.5:驅(qū)動信號,高電平有效;m1.1,m1.2:行走馬達(dá)控制信號,高電平有效,電壓為9 v;m2.1,m2.2:翻轉(zhuǎn)馬達(dá)控制信號,高電平有效,電壓為9 v;sensor1,sensor2:分別為行走馬達(dá)和翻轉(zhuǎn)馬達(dá)的返

9、回電壓信號,系模擬信號。 二、 系統(tǒng)設(shè)計(jì)方案1.系統(tǒng)的總體結(jié)構(gòu)設(shè)計(jì)根據(jù)系統(tǒng)的設(shè)計(jì)要求,我們可得到移動dvd位控系統(tǒng)dvdwkxt的輸入和輸出接口如圖10.4所示。圖中的信號說明如下:clkin:外部時(shí)鐘端輸入,8 mhz晶振;open_close:外部開關(guān)操作輸入電平信號;tilt:外部輸入位置調(diào)節(jié)信號;data:8位傳感器返回?cái)?shù)據(jù);adcabc00:傳感器通道選擇信號輸出;adcclk:模擬轉(zhuǎn)換器的時(shí)鐘信號;eoc, op, read, write:adc0809的控制信號;motor11_out, motor12_out, motor21_out, motor22_out:驅(qū)動馬達(dá)控制信號

10、;ds_time,ds_unit:時(shí)間及單位輸出信號;error00,good00:異常,正常輸出信號;v_pos1, v_pos2, v_pos3:水平位置輸出信號;h_pos1, h_pos2, h_pos3:角度位置輸出信號。 根據(jù)實(shí)際要求,上面的各個(gè)信號輸出為ttl標(biāo)準(zhǔn)電平,輸出電流約為510 ma。圖4 dvdwkxt的輸入和輸出接口圖經(jīng)過對系統(tǒng)的設(shè)計(jì)要求進(jìn)行分析,我們可將整個(gè)系統(tǒng)分為7個(gè)模塊:分頻模塊fini、open_close操作模塊open_op、tilt操作模塊tilt_op、位置判斷模塊pos_diff、異常判斷及處理模塊abn_diff、判斷輸出模塊output、時(shí)間及

11、位置顯示模塊display。整個(gè)系統(tǒng)的組成框圖如圖5(略)(該圖大家可以根據(jù)所給模塊程序自行畫出)所示。 2.系統(tǒng)內(nèi)各模塊的設(shè)計(jì)分頻模塊fini:其功能為對外部輸入時(shí)鐘進(jìn)行分頻,得到周期為1.5 ms計(jì)數(shù)器,并根據(jù)反饋信號time_s對計(jì)時(shí)器進(jìn)行清零。其輸入輸出接口如圖6所示,圖中的clkin為外部時(shí)鐘輸入,time_s為定時(shí)器清零信號。 圖6 分頻模塊fini輸入輸出接口圖open_close操作模塊open_op:其功能為根據(jù)反饋的數(shù)據(jù)和相關(guān)的信號,做出具體的馬達(dá)控制輸出。其輸入輸出接口如圖7所示,圖中的信號tilt_bcd、tilt_fgh為從tilt模塊返回的控制信號,time_s是內(nèi)

12、部計(jì)時(shí)器的清零信號,error0為異常警告信號。圖10.7 open_close操作模塊open_op輸入輸出接口圖tilt操作模塊tilt_op:其功能為根據(jù)反饋的數(shù)據(jù)和相應(yīng)的信號,做出具體的馬達(dá)控制輸出。其輸入輸出接口如圖8所示,圖中的信號tilt_bcd0、tilt_fgh0為小范圍內(nèi)調(diào)整控制信號,adcabcp5是adc0809通道選通信號。圖8 tilt操作模塊tilt_op輸入輸出接口圖位置判斷模塊pos_diff:其功能為對每個(gè)周期內(nèi)的移動顯示屏的位置進(jìn)行判斷,并把信號輸出。其輸入輸出接口如圖9所示,圖中的vpa、vpb、vpc、vpd、hpe、hpf、hpg、hph、vpab、

13、vpbc、vpcd、hpef、hpfg、hpgh為輸出位信號。 異常判斷及處理模塊abn_diff:其功能為在每一個(gè)周期內(nèi)對系統(tǒng)的運(yùn)行異常情況判斷,并把信號輸出。針對不同的位置,在相應(yīng)的操作里,設(shè)定的時(shí)間內(nèi),如沒有到達(dá)規(guī)定的位置,則判斷為異常,并關(guān)斷馬達(dá)的運(yùn)行。其輸入輸出接口如圖10所示。圖9 位置判斷模塊pos_diff輸入輸出接口圖圖10 異常判斷及處理模塊abn_diff輸輸出接口圖判斷輸出模塊output:其功能為根據(jù)以上模塊的各判斷輸出信號,進(jìn)行再次判斷,并輸出到外部。由以上模塊進(jìn)行操作,得到不同的信號,從而進(jìn)行輸出控制;主要是針對模擬通道的選擇,以及對馬達(dá)1和馬達(dá)2的控制。其輸入輸

14、出接口如圖11所示。 圖11 判斷輸出模塊output輸入輸出接口圖時(shí)間及位置顯示模塊display:其功能為對外顯示每一移動過程所用時(shí)間。其輸入輸出接口如圖12所示,圖中的ds_time、ds_unit為輸出時(shí)間及時(shí)間單位。由于輸出為ttl標(biāo)準(zhǔn)電平,因此外部需要附加七段碼驅(qū)動芯片,本設(shè)計(jì)擬用74als244。圖12 時(shí)間及位置顯示模塊display輸入輸出接口圖三、主要vhdl源程序- dvdwkxt.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsi

15、gned.all; -定義一個(gè)實(shí)體 entity dvdwkxt is port(clkin: in std_logic;-外部時(shí)鐘輸入端 open_close,tilt: in std_logic; data: in std_logic_vector(7 downto 0); -傳感器返回位置數(shù)據(jù) adcabc00: out std_logic_vector(2 downto 0); -選擇模擬轉(zhuǎn)換通道 adcclk: out std_logic;eoc,read,write,op: out std_logic;-adc0809控制端 motor11_out,motor12_out: out

16、 std_logic;-馬達(dá)ttl電平控制端 motor21_out,motor22_out: out std_logic; -馬達(dá)ttl電平控制端 ds_time,ds_unit: out std_logic_vector(7 downto 0); -顯示時(shí)間 error00,good00: out std_logic; -異常情況輸出端 v_pos1,v_pos2,v_pos3: out std_logic;-水平位置信號 h_pos1,h_pos2,h_pos3: out std_logic);-翻轉(zhuǎn)角度信號 end entity dvdwkxt;architecture art of

17、dvdwkxt is signal count: std_logic_vector(16 downto 0);-分頻 signal time00: std_logic_vector(12 downto 0);-計(jì)數(shù)器 signal v_postionp2,h_postionp2: std_logic_vector(7 downto 0); signal v_postionp3,h_postionp3: std_logic_vector(7 downto 0); signal v_postionp4,h_postionp4: std_logic_vector(7 downto 0); signa

18、l v_postionp5,h_postionp5: std_logic_vector(7 downto 0); -上面四個(gè)語句均為位置寄存器 signal adivider,time_s: std_logic; signal motor11p2,motor12p2,motor21p2,motor22p2: std_logic; signal motor11p5,motor12p5,motor21p5,motor22p5: std_logic; signal motor11p4,motor12p4,motor21p4,motor22p4: std_logic; signal error0,go

19、od0: std_logic; - 異常情況寄存器 signal adcabcp2,adcabcp5:std_logic_vector(2 downto 0); -通道選擇寄存器 signal vpa,vpb,vpc,vpd: std_logic;-水平位置信號標(biāo)志 signal hpe,hpf,hpg,hph: std_logic;-角度位置標(biāo)志 signal vpab,vpbc,vpcd: std_logic;signal hpef,hpfg,hpgh: std_logic; signal tilt_bcd,tilt_fgh: std_logic;-tilt信號判斷標(biāo)志 signal vc

20、_vd,vd_vb,vb_vc: std_logic;-位置常量標(biāo)志 signal hf_hg,hg_hh,hh_hf: std_logic;-位置常量標(biāo)志constant va: integer :=20; constant vb: integer :=180; constant vc: integer :=210; constant vd: integer :=240; constant he: integer :=20; constant hf: integer :=180; constant hg: integer :=210; constant hh: integer :=240;b

21、egin -程序初始化 eoc=adivider; read=not(adivider); write=not(adivider); op=not(adivider); adcclk=not(adivider); error00=error0; good00=good0; -divider frequency 分頻子進(jìn)程 p1:process(clkin) isbegin if(clkinevent and clkin=1)then count=count+1; end if; if(count=11111111111111111)then adivider=1; else adivider=

22、0; end if; if(time_s=1)then if(adivider=1)then time00=time00+1; end if; elsif(time_s=0)then -對計(jì)數(shù)器清零 time00=0000000000000; end if; end process p1; -執(zhí)行open_close操作 p2:process(adivider,open_close,error0,tilt) isvariable v_postion2,h_postion2:integer range 256 downto 1; begin if (open_close=1 and error0

23、=0 and tilt_bcd=0 and tilt_fgh=0 and good0=0)then -正常情況下出盒 adcabcp2=000; v_postionp2=va and v_postion2vb and adcabcp2=000) thenmotor11p2=vb and adcabcp2=000)then motor11p2=0; adcabcp2=001; time_s=0; h_postionp2=he and h_postion2hf and adcabcp2=001)then motor21p2=hf and adcabcp2=001)then motor21p2=0;

24、 time_s=0; good0=1; end if; -出盒操作完成 end if;if(open_close=0 and error0=0 and tilt_bcd=0 and tilt_fgh=0 and good0=1)then-回盒條件成立 adcabcp2=001; h_postionp2he and h_postion2=hh and adcabcp2=001)then motor22p2=1; end if ;if(h_postion2=he and adcabcp2=001)then -向下翻轉(zhuǎn) motor22p2=0; time_s=0; adcabcp2=000; v_p

25、ostionp2va and v_postion2=vd and adcabcp2=000)then motor12p2=1; -水平回盒 end if; if(v_postion2=va and adcabcp2=000)then motor12p2=0; time_s=0; good0=0; end if ; end if ; end process p2;p3:process(adivider) is variable v_postion3,h_postion3:integer range 256 downto 1; begin if(adcabcp2=000 or adcabcp5=0

26、00)then v_postionp3=data; v_postion3:=conv_integer(v_postionp3); if(v_postion3=va)then -在盒內(nèi)狀態(tài) vpa=1; vpb=0; vpc=0; vpd=0; vpab=0; vpbc=0; vpcdva and v_postion3vb)then -出盒中間位置 vpa=0; vpb=0; vpc=0; vpd=0; vpab=1; vpbc=0; vpcd=0; elsif(v_postion3=vb)then -水平位置b vpa=0; vpb=1; vpc=0; vpd=0; vpab=0; vpbc=

27、0; vpcdvb and v_postion3vc)then -水平位置bc之間 vpa=0; vpb=0; vpc=0; vpd=0; vpab=0; vpbc=1; vpcd=0; elsif(v_postion3=vc)then -水平位置c vpa=0; vpb=0; vpc=1; vpd=0; vpab=0; vpbc=0; vpcdvc and v_postion3vd)then -水平位置cd之間 vpa=0; vpb=0; vpc=0; vpd=0; vpab=0; vpbc=0; vpcd=vd)then -水平位置d vpa=0; vpb=0; vpc=0; vpd=1;

28、 vpab=0; vpbc=0; vpcd=0; end if; end if ;if(adcabcp2=001 or adcabcp5=001)then h_postionp3=data ; h_postion3:=conv_integer(h_postionp3); if(h_postion3=he)then -翻轉(zhuǎn)位置e hpe=1; hpf=0; hpg=0; hph=0; hpef=0; hpfg=0; hpghhe and h_postion3hf)then -角度位置e、f之間 hpe=0; hpf=0; hpg=0; hph=0; hpef=1; hpfg=0; hpgh=0;

29、 elsif(h_postion3=hf)then -角度位置f hpe=0; hpf=1; hpg=0; hph=0; hpef=0; hpfg=0; hpghhf and h_postion3hg)then -角度位置f、h之間 hpe=0; hpf=0; hpg=0; hph=0; hpef=0; hpfg=1; hpgh=0; elsif(h_postion3=hg)then -角度位置h hpe=0; hpf=0; hpg=1; hph=0; hpef=0; hpfg=0; hpghhg and h_postion3hh)then -角度位置g、h之間 hpe=0; hpf=0; h

30、pg=0; hph=0; hpef=0; hpfg=0; hpgh=1; elsif(h_postion3=hh)then -角度位置h hpe=0; hpf=0; hpg=0; hph=1; hpef=0; hpfg=0; hpgh=0; end if; end if; end process p3;-異常判斷子程序 p4:process(adivider) is variable v_postion4,h_postion4:integer range 256 downto 1; begin if(adcabcp2=000 or adcabcp5=000) then -水平位置 v_post

31、ionp4=4000 and (v_postion4va and v_postion4vb)then error0=1; -出盒或進(jìn)盒過程中出錯(cuò) motor11p4=0; motor12p4=2666 and (vpb=0 and vpc=0 and vpd=0)then error0=1; -水平位置調(diào)節(jié)時(shí)出錯(cuò) motor11p4=0; motor12p4=0; end if; end if; if(adcabcp2=001 or adcabcp5=001)then h_postionp4=4000 and (h_postion4he and h_postion4hf)then error0

32、=1; -大角度翻轉(zhuǎn)時(shí)出錯(cuò) motor21p4=0; motor22p4=2666) and (hpf=0 and hpg=0 and hph=0)then error0=1; -角度調(diào)節(jié)時(shí)出錯(cuò) motor21p4=0; motor22p4=4000)then error0=2666 )then -是否是位置調(diào)節(jié) adcabcp5=000; v_postionp5=data ; v_postion5:=conv_integer(v_postionp5); tilt_bcd=1;elsif(tilt=1 and conv_integer(time00)2666)then -是否是角度調(diào)節(jié) adc

33、abcp5=001; h_postionp5=data; h_postion5:=conv_integer(h_postionp5); tilt_fgh=1; end if;if(tilt=0)then if(adcabcp5=000 and tilt_bcd=1)then -判斷方向 if(vpc=1)then -從位置c到位置d vc_vd=1; end if; if(vpd=1)then -從位置d到位置c vd_vb=1; end if; if(vpb=1)then -從位置b到位置c vb_vc=vc and v_postion5vd)then motor11p5=1; elsif(

34、vc_vd=1 and v_postion5=vd)then -到達(dá)位置d motor11p5=0; tilt_bcd=0; vc_vdvb and v_postion5=vd) then -postion d motor12p5=1; elsif(vc_vd=1 and v_postion5=vb)then -到達(dá)位置b motor12p5=0; tilt_bcd=0; vd_vb=vb and v_postion5vc) then -postion bmotor11p5=1; elsif(vc_vd=1 and v_postion5=vc)then -到達(dá)位置c motor11p5=0;

35、tilt_bcd=0; vc_vd=0; end if ; end if; if(adcabcp5=001 and tilt_fgh=1)then -different x to y if(hpf=1)then hf_hg=1; end if; if(hpg=1)then hg_hh=1; end if; if(hph=1)then hh_hf=hf and h_postion5hg) then -postion f motor21p5=1; elsif(hf_hg=1 and h_postion5=hg)then -到達(dá)位置g motor21p5=0; tilt_fgh=0; hf_hg=h

36、g and h_postion5hh) then -postion g motor21p5=1; elsif(hg_hh=1 and h_postion5=hh)then -到達(dá)位置h motor21p5=0; tilt_fgh=0; hg_hhhf and h_postion5hh) then -postion h motor22p5=1; elsif(hh_hf=1 and h_postion5=hf)then -到達(dá)位置f motor22p5=0; tilt_fgh=0;hh_hf=0; end if; end if; end if; end if; end process p5; -位

37、置顯示輸出部分 p6:process(adivider,vpb,vpc,vpd,hpf,hpg,hph) begin if(vpb=1)then -位置b v_pos1=1; v_pos2=0; v_pos3=0; end if; if(vpc=1)then -位置c v_pos1=0; v_pos2=1; v_pos3=0; end if; if(vpd=1)then -位置dv_pos1=0; v_pos2=0; v_pos3=1; end if; if(vpb=0 and vpc=0 and vpd=0)then -處于位置之間 v_pos1=0; v_pos2=0; v_pos3=0; end if; if(hpf=1)then -角度f h_pos1=1; h_pos2=0 ; h_pos3=0; end if; if(hpg=1)then -角

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論