![專用集成電路試驗(yàn)指導(dǎo)書gai_第1頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/6/8d22ec81-88aa-465f-bea1-399230d3b1d1/8d22ec81-88aa-465f-bea1-399230d3b1d11.gif)
![專用集成電路試驗(yàn)指導(dǎo)書gai_第2頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/6/8d22ec81-88aa-465f-bea1-399230d3b1d1/8d22ec81-88aa-465f-bea1-399230d3b1d12.gif)
![專用集成電路試驗(yàn)指導(dǎo)書gai_第3頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/6/8d22ec81-88aa-465f-bea1-399230d3b1d1/8d22ec81-88aa-465f-bea1-399230d3b1d13.gif)
![專用集成電路試驗(yàn)指導(dǎo)書gai_第4頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/6/8d22ec81-88aa-465f-bea1-399230d3b1d1/8d22ec81-88aa-465f-bea1-399230d3b1d14.gif)
![專用集成電路試驗(yàn)指導(dǎo)書gai_第5頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-1/6/8d22ec81-88aa-465f-bea1-399230d3b1d1/8d22ec81-88aa-465f-bea1-399230d3b1d15.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、實(shí)驗(yàn)一 開發(fā)平臺(tái)軟件安裝與認(rèn)知實(shí)驗(yàn)實(shí)驗(yàn)性質(zhì):驗(yàn)證性 實(shí)驗(yàn)級(jí)別:必做開課單位:信息與通信工程學(xué)院通信工程系 學(xué)時(shí):2學(xué)時(shí)一、實(shí)驗(yàn)?zāi)康模?、了解Xilinx ISE 9.2/Quartus II軟件的功能。2、掌握Xilinx ISE 9.2/Quartus II的VHDL輸入方法。3、掌握Xilinx ISE 9.2/Quartus II的原理圖文件輸入和元件庫(kù)的調(diào)用方法。4、掌握Xilinx ISE 9.2/Quartus II軟件元件的生成方法和調(diào)用方法。5、掌握Xilinx ISE 9.2/Quartus II編譯、功能仿真和時(shí)序仿真。 6、掌握Xilinx ISE 9.2/Quartus
2、 II原理圖設(shè)計(jì)、管腳分配、綜合與實(shí)現(xiàn)、數(shù)據(jù)流下載方法。7、了解所編電路器件資源的消耗情況。二、實(shí)驗(yàn)器材:計(jì)算機(jī)、Quartus II軟件或xilinx ISE三、實(shí)驗(yàn)內(nèi)容:1、 本實(shí)驗(yàn)以三線八線譯碼器(LS74138)為例,在Xilinx ISE 9.2軟件平臺(tái)上完成設(shè)計(jì)電路的VHDL文本輸入、語法檢查、編譯、仿真、管腳分配和編程下載等操作。下載芯片選擇Xilinx公司的CoolRunner II系列XC2C256-7PQ208作為目標(biāo)仿真芯片。2、 用1中所設(shè)計(jì)的的三線八線譯碼器(LS74138)生成一個(gè)LS74138元件,在Xilinx ISE 9.2軟件原理圖設(shè)計(jì)平臺(tái)上完成LS7413
3、8元件的調(diào)用,用原理圖的方法設(shè)計(jì)三線八線譯碼器(LS74138),實(shí)現(xiàn)編譯,仿真,管腳分配和編程下載等操作。四、實(shí)驗(yàn)步驟:1、三線八線譯碼器(LS74138)VHDL電路設(shè)計(jì)(1)、三線八線譯碼器(LS74138)的VHDL源程序的輸入打開Xilinx ISE 9.2編程環(huán)境軟件Project Navigator,執(zhí)行“file”菜單中的【New Project】命令,為三線八線譯碼器(LS74138)建立設(shè)計(jì)項(xiàng)目。項(xiàng)目名稱【Project Name】為 “Shiyan”,工程建立路徑為“C:XilinxbinShiyan”,其中“頂層模塊類型(Top-Level Module Type)”為
4、硬件描述語言(HDL),如圖1所示。圖1點(diǎn)擊【下一步】,彈出【Select the Device and Design Flow for the Project】對(duì)話框,在該對(duì)話框內(nèi)進(jìn)行硬件芯片選擇與工程設(shè)計(jì)工具配置過程。各標(biāo)簽具體含義如下:l 【Device Family】:定義所選芯片器件族l 【Device】:定義器件名l 【Package】:定義器件封裝形式l 【Speed Grade】:定義芯片工作速度等級(jí)l 【Top-Level Module Type】:定義頂層模塊類型l 【Synthesis Tool】:定義綜合工具l 【Simulator】:定義仿真測(cè)試工具l 【Genera
5、ted Simulation Language】:定義硬件描述語言針對(duì)本試驗(yàn)所用開發(fā)板我們選擇 “CoolRunner2 CPLDs”系列的 “XC2C256-7PQ208”器件作為目標(biāo)芯片進(jìn)行仿真,如圖2所示。圖2圖2的選項(xiàng)卡中“simulator”選項(xiàng),如果選擇xilinx自帶的仿真器,則選擇“ISE simulator”,如果選擇第三方仿真軟件,則如圖所示。完成具體選擇后點(diǎn)擊【下一步】彈出如圖3所示對(duì)話框,在該對(duì)話框內(nèi)創(chuàng)建文件資源。圖3打開【New Source】標(biāo)簽,彈出如圖4所示對(duì)話框,在左側(cè)方框中包含了用戶可以創(chuàng)建的文件類型,包括以下內(nèi)容:l 【Schematic】:原理圖類型文件
6、l 【State Diagram】:狀態(tài)圖類型文件l 【Test Bench Waveform】:波形類型測(cè)試文件l 【User Document】:用戶類型文件l 【Verilog Module】:Verilog類型文件l 【Verilog Test Fixture】:Verilog語言描述類型測(cè)試文件l 【VHDL Library】:VHDL庫(kù)文件l 【VHDL Module】:VHDL類型模塊文件l 【VHDL Package】:VHDL類型文件封裝庫(kù)l 【VHDL Test Bench】:VHDL語言描述類型測(cè)試文件圖4在【File】標(biāo)簽下對(duì)話框內(nèi)寫入用戶自定義的文件名稱,標(biāo)簽【Loc
7、atior】下顯示了新定義文件的創(chuàng)建路徑,選中標(biāo)簽【Add to proje】前的對(duì)號(hào)標(biāo)記,將新創(chuàng)建的文件74ls138添加到工程 “Shiyan”中。點(diǎn)擊【下一步】,彈出如圖5所示對(duì)話框,在此對(duì)話框中輸入三線八線譯碼器(LS 74138)的的端口信息。圖5點(diǎn)擊【下一步】彈出【New Source Information】對(duì)話框,在該對(duì)話框內(nèi)顯示了新建文件的屬性及信息,如圖6所示。圖6點(diǎn)擊【完成】返回資源創(chuàng)建對(duì)話框,圖7點(diǎn)擊【完成】標(biāo)簽結(jié)束新建工程過程。進(jìn)入Xilinx ISE文本編輯方式,在文本框中編輯輸入3線8線譯碼器的VHDL源程序,如圖7所示:library IEEE;use IEEE
8、.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;- Uncomment the following lines to use the declarations that are- provided for instantiating Xilinx primitive components.-library UNISIM;-use UNISIM.VComponents.all;entity ls74138 is Port ( g1 : in std_logic; g2 : in st
9、d_logic; inp : in std_logic_vector(2 downto 0); y : out std_logic_vector(7 downto 0);end ls74138;architecture Behavioral of ls74138 isbeginprocess(g1,g2,inp)begin if(g1 and g2)=1) then case inp is when 000=yyyyyyyyy=00000000; end case; else y G1,G2 = G2,INP = INP,Y = Y );- * Test Bench - User Define
10、d Section *u1:PROCESS BEGIN G1=0; wait for 10 us; G1=1; wait for 90 us; G1=0; wait; END PROCESS u1;u2:PROCESS BEGIN G2=0; wait for 10 us; G2=1; wait for 90 us; G2=0; wait; END PROCESS u2;u3:PROCESS BEGIN INP=000; wait for 20 us; INP=001; wait for 10 us; INP=010; wait for 10 us; INP=011; wait for 10
11、us; INP=100; wait for 10 us; INP=101; wait for 10 us; INP=110; wait for 10 us; INP=111; wait; end PROCESS u3;- * End Test Bench - User Defined Section *END behavior ;(4)芯片管腳定義如前所述添加用戶定義限制文件,如圖14所示,運(yùn)行【Assign Package Pins】選項(xiàng)卡,Xilinx ISE將彈出管腳分配窗口,在如圖15,輸入各個(gè)端口管腳位置并保存,完成芯片管腳定義。圖14圖15 (5)編譯與綜合圖16 圖17運(yùn)行【Pr
12、ocess for Source】中的【Implement Design】(圖16),ISE將自動(dòng)完成編譯并調(diào)用內(nèi)嵌的綜合工具XST完成綜合過程,運(yùn)行結(jié)果如圖17所示。編譯通過后即自動(dòng)生成了電路燒錄下載文件(*.jed)以及資源消耗報(bào)告,通過該報(bào)告即可了解所設(shè)計(jì)電路的資源消耗情況。即設(shè)計(jì)中使用了幾個(gè)宏單元(Macrocells),幾個(gè)乘積項(xiàng)(Pterms),幾個(gè)寄存器單元(Registers),幾個(gè)用戶引腳(Pins)及幾個(gè)功能輸入塊(Function Block)。(6)編程下載本試驗(yàn)用并行電纜將開發(fā)實(shí)驗(yàn)平臺(tái)與計(jì)算機(jī)接在一起。確認(rèn)下載電纜連接無誤后執(zhí)行Xilinx ISE的【iMPACT】命
13、令,將三線八線譯碼器(LS74138)設(shè)計(jì)文件下載到目標(biāo)芯片XC2C256-7PQ208中,硬件驗(yàn)證所設(shè)計(jì)電路功能。2、元件的生成、調(diào)用和仿真如圖19所示,選擇“create schematic symbol”雙擊,將新建立的實(shí)體ls74138生成元件,放在庫(kù)中;新建原理圖文件,命名為 “Sch_LS74138”并添加到工程“Shiyan”中,如圖20。點(diǎn)擊【下一步】完成原理圖文件的創(chuàng)建。在彈出的原理圖編輯框內(nèi)選擇【Symbols】標(biāo)簽,在其目錄列表內(nèi)顯示了所有可用電路器件,其中包括了我們所設(shè)計(jì)的LS74138(圖21)。 圖19 圖20 圖21雙擊“l(fā)s74138”將其放置到原理圖編輯區(qū)內(nèi)。
14、點(diǎn)擊為器件添加外圍端口,如圖22所示。圖22將原理圖文件保存后返回【Xilinx Project Navigator】平臺(tái),此時(shí)已經(jīng)將程序所設(shè)計(jì)的器件“LS74138”配置給了原理圖文件“sch_ls74138”,其余電路仿真、管腳配置、編譯、綜合以及電路下載等過程與文本設(shè)計(jì)方式一致,在此不在重復(fù)說明。五、預(yù)習(xí)與思考:思考:比較VHDL語言和原理圖的設(shè)計(jì)方法,這兩種設(shè)計(jì)各有哪些優(yōu)缺點(diǎn)。實(shí)驗(yàn)二 組合邏輯電路的VHDL語言實(shí)現(xiàn)實(shí)驗(yàn)性質(zhì):驗(yàn)證性 實(shí)驗(yàn)級(jí)別:必做開課單位:信息與通信工程學(xué)院通信工程系 學(xué)時(shí):2學(xué)時(shí)一、實(shí)驗(yàn)?zāi)康模?、掌握VHDL語言設(shè)計(jì)基本單元及其構(gòu)成2、掌握用VHDL語言設(shè)計(jì)基本的組合
15、邏輯電路的方法。3、掌握VHDL語言的主要描述語句。二、實(shí)驗(yàn)器材:計(jì)算機(jī)、Quartus II軟件或Xilinx ISE三、實(shí)驗(yàn)內(nèi)容:以下三個(gè)內(nèi)容選擇兩個(gè)完成(一)、用VHDL語言實(shí)現(xiàn)八位加法器的設(shè)計(jì)并實(shí)現(xiàn)功能仿真。(二)、用VHDL語言實(shí)現(xiàn)優(yōu)先編碼器的設(shè)計(jì)并實(shí)現(xiàn)功能仿真(三)、用VHDL語言實(shí)現(xiàn)四選一選擇器的設(shè)計(jì)并實(shí)現(xiàn)功能仿真。四、實(shí)驗(yàn)步驟:(一)、用VHDL語言實(shí)現(xiàn)八位加法器的設(shè)計(jì)并實(shí)現(xiàn)功能仿真。1、完成1位全加器設(shè)計(jì),在Xilinx ISE軟件平臺(tái)上完成設(shè)計(jì)電路的VHDL文本輸入,編輯,編譯,仿真,管腳分配和編程下載等操作。下載芯片選擇Xilinx公司的CoolRunner II系列XC
16、2C256-7PQ208作為目標(biāo)仿真芯片。2、用實(shí)驗(yàn)內(nèi)容1所設(shè)計(jì)的全加器的VHDL文件生成一個(gè)adder的元件,在Xilinx ISE軟件原理圖設(shè)計(jì)平臺(tái)上完成adder元件的調(diào)用,用原理圖的方法設(shè)計(jì)一個(gè)8位二進(jìn)制加法器,實(shí)現(xiàn)編譯,仿真,管腳分配和編程下載等操作。原理:全加器是帶進(jìn)位信號(hào)的加法器,其邏輯表達(dá)式為: 。它的真值表如表1所示,其中和為加數(shù)與被加數(shù),是輸入的進(jìn)位位信號(hào),而是和數(shù),是輸出進(jìn)位位信號(hào)。參考真值表,實(shí)現(xiàn)八位全加器的功能。表1 輸入輸出0000000110010100110110010101011100111111一)1位加法器的VHDL源程序參考如下:library IEEE
17、;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;- Uncomment the following lines to use the declarations that are- provided for instantiating Xilinx primitive components.-library UNISIM;-use UNISIM.VComponents.all;entity adder is Port ( a : in std_logic; b :
18、in std_logic; cin : in std_logic; sum : out std_logic; cout : out std_logic);end adder;architecture Behavioral of adder isbegin sum = (a xor b) xor cin; cout a,b = b,cin = cin,sum = sum,cout = cout); u1: PROCESS BEGIN a=0; wait for 10 us; a=1; wait for 20 us; a=0; wait for 10 us; a=1; wait for 10 us
19、; a=0; wait for 20 us; a=1; wait for 10 us; a=0; wait for 10 us; a=1; wait; END PROCESS u1; u2:processbegin b=1;wait for 10 us;b=0;wait for 10 us;b=1;wait for 10 us;b=0;wait for 10 us;b=1;wait for 20 us;b=0;wait for 10 us;b=1;wait for 10 us;b=0;wait for 20 us;b=1;wait; END PROCESS u2; u3: process be
20、gin cin=0; wait for 40 us;cin=1;wait for 20 us;cin=0; wait;end process u3;END behavior;仿真圖如下圖1所示: 圖 1管腳分配和編程下載如實(shí)驗(yàn)一,這里不再累述。二)用實(shí)驗(yàn)內(nèi)容1所設(shè)計(jì)的全加器的VHDL文件生成一個(gè)adder的元件,在Xilinx ISE軟件原理圖設(shè)計(jì)平臺(tái)上完成adder元件的調(diào)用,用原理圖的方法設(shè)計(jì)一個(gè)8位二進(jìn)制加法器:步驟一)在processer for Source:”adder”窗口點(diǎn)擊Create Schematic Symbol如下圖2所示,生成adder的元件,在Sources in
21、 Project 窗口新建Schematic 文件 命名adder8 ,如下圖3所示,在Xilinx ECS平臺(tái)上點(diǎn)Symbols如圖4所示,添加8個(gè)adder到原理圖編輯區(qū)域,連接各元件并添加引腳,如圖5 圖 2 圖 3 圖 4圖 5仿真結(jié)果如下圖:(二)、用VHDL語言實(shí)現(xiàn)優(yōu)先編碼器的設(shè)計(jì)并實(shí)現(xiàn)功能仿真優(yōu)先計(jì)編碼器常用于中斷的優(yōu)先級(jí)控制,以8位輸入,3位二進(jìn)制輸出的優(yōu)先級(jí)編碼器為例,當(dāng)其中一個(gè)輸入有效時(shí),就可以輸出一個(gè)對(duì)應(yīng)得3位二進(jìn)制 編碼。另外,當(dāng)同時(shí)有幾個(gè)輸入有效時(shí),將輸出優(yōu)先級(jí)最高的那個(gè)輸入所對(duì)應(yīng)得二進(jìn)制編碼。其真值表如下所示:表2 優(yōu)先編碼器真值表輸入二進(jìn)制編碼輸出Input7In
22、put6Input5Input4Input3Input2Input1Input0Y2Y1Y0xxxxxxx0111xxxxxx01110xxxxx011101xxxx0111100xxx01111011xx011111010x0111111001x1111111000用VHDL語言實(shí)現(xiàn)優(yōu)先編碼器的設(shè)計(jì)并實(shí)現(xiàn)功能仿真驗(yàn)證其功能。參考程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY priorityencoder IS PORT (input:IN STD_LOGIC_VECTOR (7 DOWNTO 0); y:OUT STD_LOGIC_
23、VECTOR (2 DOWNTO 0);END priorityencoder;ARCHITECTURE rt1 OF priorityencoder ISBEGIN PROCESS (input) BEGIN IF(input(0)=0) THEN y=111; ELSIF(input(1)=0) THEN y=110; ELSIF(input(2)=0) THEN y=101; ELSIF(input(3)=0) THEN y=100; ELSIF(input(4)=0) THEN y=011; ELSIF(input(5)=0) THEN y=010; ELSIF(input(6)=0)
24、 THEN y=001; ELSE y input,y = y);- * Test Bench - User Defined Section * tb : PROCESS BEGIN input=11011010;wait for 10 us;input=11101101;wait for 10 us;input=10110011;wait for 10 us;input=11010111;wait for 10 us;input=01101111;wait for 10 us;input=11011111;wait for 10 us;input=10111111;wait for 10 u
25、s;input=11111111;wait for 10 us;input=01111111;wait for 10 us;input=11011011;wait for 10 us;input=11011111;wait for 10 us;input=11111001;wait for 10 us; END PROCESS tb;- * End Test Bench - User Defined Section *END behavior;仿真圖如下:(三)、用VHDL語言實(shí)現(xiàn)四選一選擇器的設(shè)計(jì)并實(shí)現(xiàn)功能仿真。選擇器常用于信號(hào)的切換,四選一選擇器可以用于4路信號(hào)的切換。其真值表如下所示:
26、表3 四選一真值表選擇輸入數(shù)據(jù)輸入數(shù)據(jù)輸出baInput0Input1Input2Input3y000xxx0001xxx101x0xx001x1xx110xx0x010xx1x111xxx0011xxx11用VHDL語言實(shí)現(xiàn)四選一選擇器的設(shè)計(jì)并實(shí)現(xiàn)功能仿真。參考程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux4 IS PORT (input:IN STD_LOGIC_VECTOR (3 DOWNTO 0); a,b:IN STD_LOGIC; y:OUT STD_LOGIC);END mux4;ARCHITECTURE rt1
27、OF mux4 ISSIGNAL se1:STD_LOGIC_VECTOR (1 DOWNTO 0);BEGIN se1=b&a; PROCESS (input,se1) BEGIN IF(se1=00)THEN y=input(0); ELSIF(se1=01)THEN y=input(1); ELSIF(se1=10)THEN y=input(2); ELSE y input,a = a,b = b,y = y);- * Test Bench - User Defined Section *u1: PROCESS BEGIN a=0;wait for 30 us;a=1;wait for
28、30 us;a=0;wait for 10 us;a=1;wait; END PROCESS u1;u2: process begin b=0; wait for 20 us; b=1; wait for 40 us; b=0; wait for 10 us; b=1; wait for 10 us; b=0; wait; end process u2;u3: process begin input=1101; wait for 20 us; input=1010; wait for 20 us; input=0111; wait for 40 us; input=0001; wait ; e
29、nd process u3; - * End Test Bench - User Defined Section *END behavior;六、預(yù)習(xí)與思考預(yù)習(xí):在實(shí)驗(yàn)前編好實(shí)驗(yàn)程序,做實(shí)驗(yàn)時(shí)驗(yàn)證。實(shí)驗(yàn)三 時(shí)序邏輯電路的VHDL語言實(shí)驗(yàn)實(shí)驗(yàn)性質(zhì):設(shè)計(jì)性 實(shí)驗(yàn)級(jí)別:必做開課單位:信息與通信工程學(xué)院通信工程系 學(xué)時(shí):3學(xué)時(shí)一、實(shí)驗(yàn)?zāi)康模?、掌握用VHDL語言設(shè)計(jì)基本的時(shí)序邏輯電路及仿真。 2、掌握VHDL順序語句和并行語句的異同3、掌握觸發(fā)器同步復(fù)位和異步復(fù)位的實(shí)現(xiàn)方式。4、掌握軟件時(shí)鐘的加入方法。5、掌握信號(hào)和變量的主要區(qū)別。二、實(shí)驗(yàn)器材:計(jì)算機(jī)、Quartus II軟件或xilinx ISE三
30、、實(shí)驗(yàn)內(nèi)容:(3選1)(一)、設(shè)計(jì)一個(gè)60進(jìn)制的計(jì)數(shù)器(二)、設(shè)計(jì)一帶使能的同步復(fù)位清零的遞增8位二進(jìn)制計(jì)數(shù)器(三)、設(shè)計(jì)一帶使能的異步清零復(fù)位的遞增8位二進(jìn)制計(jì)數(shù)器四、實(shí)驗(yàn)步驟:1、設(shè)計(jì)一個(gè)60進(jìn)制的計(jì)數(shù)器并實(shí)現(xiàn)仿真2、設(shè)計(jì)一帶使能的同步復(fù)位清零的遞增計(jì)數(shù)器并實(shí)現(xiàn)時(shí)序仿真。3、設(shè)計(jì)一帶使能的異步復(fù)位清零的遞增計(jì)數(shù)器并實(shí)現(xiàn)時(shí)序仿真。4、測(cè)試向量設(shè)計(jì)部分參考程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ycounter is port(clk,clear,ld,enable:i
31、n std_logic; d:in std_logic_vector(7 downto 0); qk:out std_logic_vector(7 downto 0);end ycounter;architecture a_ycounter of ycounter isbegin PROCESS (clk) VARIABLE cnt :std_logic_vector(7 downto 0); BEGIN IF (clkEVENT AND clk = 1) THEN IF(clear = 0) THEN cnt := 00000000; ELSE IF(ld = 0) THEN cnt :=
32、d; ELSE IF(enable = 1) THEN cnt := cnt + 00000001; END IF; END IF; END IF; END IF; qk clk,clear = clear,ld = ld,enable = enable,d = d,qk = qk);- * Test Bench - User Defined Section *u1 : PROCESS BEGIN clk=0;wait for clk_cycle/2;clk=1;wait for clk_cycle/2;clk=0;wait for clk_cycle/2;clk=1;wait for clk_cycle/2;clk=0;wait for clk_cycle/2;clk=1;wait for clk_cycle/2;clk=0;wait for clk_cycle/2;clk=1;wai
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年度裝卸工勞動(dòng)合同(含職業(yè)健康檢查)
- 2025年度物業(yè)業(yè)主共同推進(jìn)社區(qū)智慧化建設(shè)合同模板
- 2025年中國(guó)去膜液市場(chǎng)調(diào)查研究報(bào)告
- 2025至2030年金屬蝕刻感光油墨項(xiàng)目投資價(jià)值分析報(bào)告
- 2025至2030年二級(jí)傳動(dòng)圓錐圓柱齒輪減速器項(xiàng)目投資價(jià)值分析報(bào)告
- 2025年女式襯衫項(xiàng)目可行性研究報(bào)告
- 2025至2030年金屬?gòu)椥悦芊獾y項(xiàng)目投資價(jià)值分析報(bào)告
- 2025至2030年經(jīng)緯向竹節(jié)彈力牛仔布項(xiàng)目投資價(jià)值分析報(bào)告
- 2025至2030年木柄燒烤網(wǎng)項(xiàng)目投資價(jià)值分析報(bào)告
- 二零二五年度現(xiàn)代商務(wù)辦公租賃服務(wù)合同范本
- 貨運(yùn)車輛駕駛員安全培訓(xùn)內(nèi)容資料完整
- 高一學(xué)期述職報(bào)告
- 風(fēng)神汽車4S店安全生產(chǎn)培訓(xùn)課件
- ICU患者的體位轉(zhuǎn)換與床旁運(yùn)動(dòng)訓(xùn)練
- 人教版四年級(jí)上冊(cè)豎式計(jì)算200題及答案
- 建設(shè)工程工作總結(jié)報(bào)告
- 脾破裂術(shù)后健康宣教課件
- 三廢環(huán)保管理培訓(xùn)
- 財(cái)務(wù)管控的間接成本
- 藏族唐卡藝術(shù)特色分析
- QFD模板含計(jì)算公式計(jì)分標(biāo)準(zhǔn)說明模板
評(píng)論
0/150
提交評(píng)論