版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、8.128.12多進制數(shù)字振幅調(diào)制多進制數(shù)字振幅調(diào)制(MASK)(MASK)系統(tǒng)系統(tǒng)多進制數(shù)字振幅調(diào)制(MASK) n多進制數(shù)字振幅調(diào)制又稱多電平振幅調(diào)制,它用高頻載波的多種振幅去代表數(shù)字信息。 左圖為四電平振幅調(diào)制,高頻載波有u0(t)、u1(t)、u2(t)、u3(t)四種。振幅為0、1A、2A、3A,分別代表數(shù)字信息0、1、2、3或者雙比特二進制輸入信息 00、01、10、11 進行振幅調(diào)制。n已調(diào)波一般可表示為ng(t)是高度為1、寬度為TS的矩形脈沖,且有n為易于理解,將波形上圖 所示。顯然圖(c)中各波形的疊加便構(gòu)成了圖(b)的波形。n由上圖可見,M進制ASK信號是M個二進制ASK
2、信號的疊加。n那么,MASK信號的功率譜便是 M個二進制ASK信號功率譜之和。n因此,疊加后的MASK信號的功率譜將與每一個二進制ASK信號的功率譜具有相同的帶寬。n所以其帶寬101MiPissMTfB22MASK信號的產(chǎn)生 nMASK信號與二進制ASK信號產(chǎn)生的方法相同,可利用乘法器實現(xiàn)。n解調(diào)也與二進制ASK信號相同,可采用相干解調(diào)和非相干解調(diào)兩種方式。M進制振幅調(diào)制方框圖 實現(xiàn)多電平調(diào)制的方框原理如上圖所示,它與二進制振幅調(diào)制的方框原理非常相似。不同之處是在發(fā)信輸入端增加了2M電平變換,相應在接收端應有M2電平變換。n另外該電路的取樣判決器有多個判決電平,因此多電平調(diào)制的取樣判決電路比較
3、復雜。實際系統(tǒng)中,取樣判決電路可與M2電平變換合成一個部件,它的原理類似于A D變換器。多電平解調(diào)與二進制解調(diào)相似,可采用包絡(luò)解調(diào)或同步解調(diào)。n多進制數(shù)字振幅調(diào)制與二進制振幅調(diào)制相比有如下特點:(1)在碼元速率相同的條件下,信息速率是二進制的log2M倍。n(2)當碼元速率相同時,多進制振幅調(diào)制帶寬與二進制相同。n(3)多進制振幅調(diào)制的誤碼率通常遠大于二進制誤碼率。當功率受限時,M越大,誤碼增加越嚴重。n(4)多進制振幅調(diào)制不能充分利用發(fā)信機功率。MASK調(diào)制電路VHDL程序與仿真 MASK調(diào)制方框圖 clkstart基帶信號分頻器串/并譯碼ASK調(diào)制D/A調(diào)制信號FPGAMASK調(diào)制電路符號
4、MASK調(diào)制VHDL程序與仿真 n-文件名:MASKn-功能:基于VHDL硬件描述語言,對基帶信號進行MASK調(diào)制n-說明:這里MASK中的M為4n-最后修改日期:2004.2.13nlibrary ieee;nuse ieee.std_logic_arith.all;nuse ieee.std_logic_1164.all;nuse ieee.std_logic_unsigned.all;nentity MASK isnport(clk :in std_logic; -系統(tǒng)時鐘n start :in std_logic; -開始調(diào)制信號n x :in std_logic; -基帶信號n y
5、:out std_logic_vector(7 downto 0); -8位DAC數(shù)據(jù)nend MASK;narchitecture behav of MASK isnsignal q:integer range 0 to 7; -計數(shù)器nsignal qq:integer range 0 to 3; -計數(shù)器nsignal xx:std_logic_vector(3 downto 0); -并行數(shù)據(jù)寄存器nsignal yy:std_logic_vector(7 downto 0); -8位DAC數(shù)據(jù)寄存器nbeginnprocess(clk) -此進程完成基帶信號的串并轉(zhuǎn)換, -完成4位并
6、行數(shù)據(jù)到8位DAC數(shù)據(jù)的譯碼nbeginnif clkevent and clk=1 then n if start=0 then q=0;n elsif q=0 then q=1;xx(3)=x; n if xx(3)=1 then yy=xx&1111; -if語句完成4位并行數(shù)據(jù)到8位DAC數(shù)據(jù)轉(zhuǎn)換n elsif xx(2)=1 then yy=xx&1011;n elsif xx(1)=1 then yy=xx&0111;n elsif xx(0)=1 then yy=xx&0011;n else yy=xx&0000;n end if;n elsif q=2 then q=3;xx(2)=x;n elsif q=4 then q=5;xx(1)=x;n elsif q=6 then q=7;xx(0)=x; n else q=q+1;n end if;nend if;nend process;nprocess(clk) -對8位DAC數(shù)據(jù)進行ASK調(diào)制nbeginnif clkevent and clk=1 thenn if start=0 then qq=0;n elsif qq2 then qq=qq+1;y=00000000;n elsif qq=2 then qq=3;y=yy;n
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- GB 39800.8-2024個體防護裝備配備規(guī)范第8部分:船舶
- 2025年度床墊行業(yè)展會參展商采購合同3篇
- 2024版航天器發(fā)射與數(shù)據(jù)服務(wù)合同
- 2024版臨時工合同協(xié)議書范文
- 2024年軟件開發(fā)購銷合同
- 個人借款協(xié)議模板2024專業(yè)版版B版
- 二零二五版二手房買賣合同公證服務(wù)合同規(guī)范與執(zhí)行2篇
- 2024版股權(quán)激勵合同2篇
- 二零二五版房屋買賣更名與配套設(shè)施移交協(xié)議3篇
- 二零二五年度環(huán)保項目墊資合同范本2篇
- 2024-2025學年成都高新區(qū)七上數(shù)學期末考試試卷【含答案】
- 定額〔2025〕1號文-關(guān)于發(fā)布2018版電力建設(shè)工程概預算定額2024年度價格水平調(diào)整的通知
- 2025年浙江杭州市西湖區(qū)專職社區(qū)招聘85人歷年高頻重點提升(共500題)附帶答案詳解
- 《數(shù)學廣角-優(yōu)化》說課稿-2024-2025學年四年級上冊數(shù)學人教版
- “懂你”(原題+解題+范文+話題+技巧+閱讀類素材)-2025年中考語文一輪復習之寫作
- 2025年景觀照明項目可行性分析報告
- 2025年江蘇南京地鐵集團招聘筆試參考題庫含答案解析
- 2025年度愛讀書學長參與的讀書項目投資合同
- 電力系統(tǒng)分析答案(吳俊勇)(已修訂)
- 化學-河北省金太陽質(zhì)檢聯(lián)盟2024-2025學年高三上學期12月第三次聯(lián)考試題和答案
- 期末復習試題(試題)-2024-2025學年四年級上冊數(shù)學 北師大版
評論
0/150
提交評論