電梯控制EDA設(shè)計電氣論文_第1頁
電梯控制EDA設(shè)計電氣論文_第2頁
電梯控制EDA設(shè)計電氣論文_第3頁
電梯控制EDA設(shè)計電氣論文_第4頁
電梯控制EDA設(shè)計電氣論文_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、沈陽工程學院課程設(shè)計(論文)摘要電子設(shè)計自動化(EDA是一種實現(xiàn)電子系統(tǒng)或電子產(chǎn)品自動化設(shè)計的 技術(shù),它與電子技術(shù)、微電子技術(shù)的發(fā)展密切相關(guān),它吸收了計算機科學領(lǐng) 域的大多數(shù)最新研究成果,以高性能的計算機作為工作平臺,促進了工程發(fā) 展。EDA的一個重要特征是使用硬件描述語言(HDL來完成設(shè)計。超高速硬件描述語言(VHDL是經(jīng)IEEE和美國國防部確認的標準硬件描述語言, 自IEEE公布了 VHDL的標準版本,IEEE-1076之后,各EDA公司相繼推出了 自己的 VHDL設(shè)計環(huán)境,或宣布自己的設(shè)計工具可以和VHDL接口。此后VHDL逐步取代了原有的非標準的硬件描述語言。1993年,IEEE對VH

2、DL進行了修訂,從更高的抽象層次和系統(tǒng)描述能力上擴展VHDL的內(nèi)容。本文介紹了基于 VHDL語言實現(xiàn)的電梯控制系統(tǒng)的設(shè)計,使用了狀態(tài)機,并進行了 軟件和實驗平臺的仿真。該控制系統(tǒng)遵循方向優(yōu)先的原則,提供樓層用戶的 載客服務(wù)并指示電梯的運行情況。說明了用VHDL語言設(shè)計數(shù)字電路的方法以及VHDL語言在數(shù)字電路設(shè)計仿真中的重要作用,仿真結(jié)果表明 VHDL語言應(yīng)用于數(shù)字電路仿真是切實可行的,該語言在電子設(shè)計領(lǐng)域受到了廣泛的 接受。關(guān)鍵詞 EDA VHDL電梯控制,狀態(tài)機AbstractElectronic design automation (EDA) is a realization of an

3、electronic system or electronic design automation products of technology, with electronic technology, microelectronic technology is closely related to the development, it has absorbed most of the field of computer science and the latest research results, as a high-performance computer Working platfo

4、rm to promote the development of the project. EDA is an important feature of the use of hardware description language (HDL) to complete the design. Super-high-speed hardware description language (VHDL) is the IEEE and the U.S. Department of Defense confirmed the standard hardware description languag

5、e, since the publication of the IEEE VHDL version of the standard, IEEE-1076, the EDA companies have launched their own VHDL design environment, or to be declared Their design tools and VHDL interface. Since then VHDL gradually replace the original non-standard hardware description language. 1993, I

6、EEE on VHDL was revised from a higher level of abstraction and system capacity expansion VHDL description of the contents. In this paper, based on VHDL language of the elevator control system design, the use of the state machine and a software platform for simulation and experiment. The control syst

7、em to follow the direction of the principle of giving priority to provide the passenger service users floors and directed the operation of the lift. Note the use of VHDL digital circuit design methods and VHDL in digital circuit design to the important role of simulation, simulation results show tha

8、t the VHDL language used in digital circuit simulation is practicable, the language in the field of electronic design has been widely accepted.Keywords EDA , VHDL , elevator control ,State Machine目錄 TOC o 1-5 h z HYPERLINK l bookmark0 o Current Document 摘要Ill HYPERLINK l bookmark2 o Current Document

9、 AbstractIV第1章引言-1 - HYPERLINK l bookmark8 o Current Document 1.1課題的意義-1 - HYPERLINK l bookmark10 o Current Document 1.2 EDA 的應(yīng)用-1 - HYPERLINK l bookmark12 o Current Document VHDL語言的發(fā)展 -2 - HYPERLINK l bookmark14 o Current Document VHDL語言程序的基本結(jié)構(gòu) -3 - HYPERLINK l bookmark16 o Current Document 1.3本課程設(shè)

10、計的基本要求 -4 - HYPERLINK l bookmark18 o Current Document 1.4本課程設(shè)計的目的 -4 - HYPERLINK l bookmark20 o Current Document 1.5 本課程設(shè)計的基本原理 -4 - HYPERLINK l bookmark22 o Current Document 第2章系統(tǒng)設(shè)計-6 - HYPERLINK l bookmark24 o Current Document 2.1課程設(shè)計的基本方案 -6 - HYPERLINK l bookmark26 o Current Document 2.2層次設(shè)計的方案

11、-6 - HYPERLINK l bookmark28 o Current Document 2.2.1 電梯控制器系統(tǒng)組成框圖 -6 - HYPERLINK l bookmark30 o Current Document 2.2.2電梯控制器的狀態(tài)轉(zhuǎn)換圖 -7 - HYPERLINK l bookmark32 o Current Document 2.3源程序設(shè)計-8 - HYPERLINK l bookmark34 o Current Document 2.4系統(tǒng)的仿真波形 -15 - HYPERLINK l bookmark36 o Current Document 2.5系統(tǒng)頂層元件圖

12、 -16 - HYPERLINK l bookmark38 o Current Document 總結(jié)-18 - HYPERLINK l bookmark40 o Current Document 致謝-19 - HYPERLINK l bookmark42 o Current Document 參考文獻-20 -沈陽工程學院課程設(shè)計(論文)第偉引言1.1課題的意義EDA技術(shù)是用于電子產(chǎn)品設(shè)計中比較先進的技術(shù),可以代替設(shè)計者完成電 子系統(tǒng)設(shè)計中的大部分工作,而且可以直接從程序中修改錯誤及系統(tǒng)功能而不 需要硬件電路的支持,既縮短了研發(fā)周期,又大大節(jié)約了成本,受到了電子工 程師的青睞。實現(xiàn)電梯系統(tǒng)

13、的控制方法很多,可以用標準邏輯器件、可編程序控 制器PLG單片機等方案來實現(xiàn)。但是這些控制方法的功能修改及調(diào)試都需要 硬件電路的支持,在一定程度上增加了功能修改及系統(tǒng)調(diào)試的困難。因此,在 設(shè)計中采用EDA技術(shù),應(yīng)用目前廣泛應(yīng)用的 VHDL硬件電路描述語言,實現(xiàn)電 梯控制器的設(shè)計,利用 MAXPLUS集成開發(fā)環(huán)境進行綜合、仿真,并下載到 CPLD可編程邏輯器件中,完成系統(tǒng)的控制作用。在現(xiàn)代社會中,各種高樓大廈鱗次櫛比,住在高層的住戶和工作人員的出 行就成為一個很顯著的問題,本設(shè)計的主旨就是設(shè)計一套四層樓的電梯控制系 統(tǒng)。1.2 EDA的應(yīng)用1)將廣泛應(yīng)用于高校電類專業(yè)的實踐教學工作中各種數(shù)字集成

14、電路芯片,用 VHDL語言可以進行方便的描述,經(jīng)過生成元 件后可作為一個標準元件進行調(diào)用。同時,借助于VHDL開發(fā)設(shè)計平臺,可以進行系統(tǒng)的功能仿真和時序仿真,借助于實驗開發(fā)系統(tǒng)可以進行硬件功能驗證 等,因而可大大地簡化數(shù)字電子技術(shù)的實驗,并可根據(jù)學生的設(shè)計不受限制地 開展各種實驗。對于電子技術(shù)課程設(shè)計,特別是數(shù)字系統(tǒng)性的課題,在EDA實驗室不需添加任何新的東西,即可設(shè)計出各種比較復雜的數(shù)字系統(tǒng),并且借助于實驗開發(fā) 系統(tǒng)可以方便地進行硬件驗證。自1997年全國第三屆電子技術(shù)設(shè)計競賽采用FPGA/CPLD器件以來,F(xiàn)PGA/CPLD已得到了越來越多選手的利用,并且給定的課題如果不借助于 FPGA/

15、CPLD器件可能根本無法實現(xiàn)。因此 EDA技術(shù)將成為各種電子技術(shù)設(shè)計競 賽選手必須掌握的基本技能與制勝的法寶。將廣泛應(yīng)用于科研和新產(chǎn)品的開發(fā)中 由于可編程邏輯器件性能價格比的不斷提高,開發(fā)軟件功能的不斷完善,EDA技術(shù)設(shè)計電子系統(tǒng)具有用軟件的方式設(shè)計硬件;設(shè)計過程中可用有關(guān)軟件 進行各種仿真;系統(tǒng)可現(xiàn)場編程,在線升級;整個系統(tǒng)可集成在一個芯片上。 這些特點使其將廣泛應(yīng)用于科研工作和新產(chǎn)品的開發(fā)工作中。將廣泛應(yīng)用于專用集成電路的開發(fā) 可編程器件制造廠家可按照一定的規(guī)格以通用器件大量生產(chǎn),用戶可按通用器件從市場上選購,然后按自己的要求通過編程實現(xiàn)專用集成電路的功能。 因此,對于集成電路制造技術(shù)與世

16、界先進的集成電路制造技術(shù)尚有一定差距的 我國,開發(fā)具有自主知識產(chǎn)權(quán)的專用集成電路,已成為相關(guān)專業(yè)人員的重要任 務(wù)。將廣泛應(yīng)用于傳統(tǒng)機電設(shè)備的升級換代和技術(shù)改造傳統(tǒng)機電設(shè)備的電氣控制系統(tǒng),如果利用 EDA技術(shù)進行重新設(shè)計或進行技 術(shù)改造,不但設(shè)計周期短、設(shè)計成本低,而且將提高產(chǎn)品或設(shè)備的性能,縮小 產(chǎn)品體積,提高產(chǎn)品的技術(shù)含量,提高產(chǎn)品的附加值。1.2.1 VHDL 語言的發(fā)展VHDL誕生于1982年,1987年底被IEEE和美國國防部確認為標準硬件描 述語言。自IEEE公布了 VHDL的標準版本(IEEE-1076)之后,各EDA公司相繼 推出了自己的VHDL設(shè)計環(huán)境,或宣布自己的設(shè)計工具可以

17、和 VHDLS口。此后VHDL在電子設(shè)計領(lǐng)域得到了廣泛的接受,并逐步取代了原有的非標 準硬件描述語言。1993年,IEEE對VHDL行了修訂,從更高的抽象層次和系 統(tǒng)描述能力上擴展VHDL的內(nèi)容,公布了新版本的 VHDL即IEEE標準的1076- 1993版本?,F(xiàn)在,VHDL和Verilog 作為IEEE的工業(yè)標準硬件描述語言,又得到眾多 EDA公司的支持,在電子工程領(lǐng)域,已成為事實上的通用硬件描述語言。有專 家認為,在新的世紀中,VHDL與Verilog語言將承擔起幾乎全部的數(shù)字系統(tǒng)設(shè)計任務(wù)。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具 有硬件特征的語句外,VHDL勺

18、語言形式和描述風格與句法十分類似于一般的計 算機高級語言。VHDL的程序結(jié)構(gòu)特點是將一項工程設(shè)計,或稱設(shè)計實體(可以 是一個元件、一個電路模塊或一個系統(tǒng) )分成外部(或稱可視部分,即端口 )和 內(nèi)部(或稱不可視部分),即設(shè)計實體的內(nèi)部功能和算法完成部分。在對一個設(shè) 計實體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計就可以直接調(diào) 用這個實體。這種將設(shè)計實體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計的基本點。122 VHDL語言程序的基本結(jié)構(gòu)一個相對完整的VHDL程序(或稱為設(shè)計實體)具有如圖1-1所示的比較固 定的結(jié)構(gòu)。通常包含實體(Entity)、結(jié)構(gòu)體(Architecture) 、配置 (

19、Configuration)、程序包(Package)和庫(Library)5 個部分。圖1.1 VHDL語言的基本結(jié)構(gòu)本課程設(shè)計的基本要求設(shè)計 4 層樓電梯控制器,該控制器滿足以下要求: 每層電梯入口設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有乘客到達層次的停站請求開 關(guān)。設(shè)有電梯所處位置指示裝置及電梯運行模式(上升或下降)指示裝置。電 梯每秒升(降)一層樓。電梯到達有停站請求的樓層后,經(jīng)1秒電梯門打開, 開門指示燈亮,開門 10 秒鐘后,電梯門關(guān)閉(開門指示燈滅) ,電梯繼續(xù)運 行,直至執(zhí)行完最后一個請求信號后停在當前層。電梯運行規(guī)則:當電梯處在 上升模式時,只響應(yīng)比電梯所處在位置高的上樓請求信號,由下而

20、上逐個執(zhí) 行,直到最后一個上樓請求執(zhí)行完畢,如更高層有下樓請求,則直接升到有下 樓請求的最高層接客,然后便進入下降模式。當電梯處于下降模式時則與上升 模式相反。電梯初始狀態(tài)是1層。到達各層有音樂提示。本課程設(shè)計的目的1)完成EDA程序設(shè)計課程的教學之后,通過 2周的設(shè)計進一步鞏固所學 的知識,加深理解。2)指導和促使學生通過各種途徑對 EDA和VHDL相關(guān)內(nèi)容進行拓展和深 入,增強自學能力及對知識的融會貫通能力。3)提高動手能力、調(diào)試程序的能力、解決問題的能力和實際開發(fā)應(yīng)用能力,掌握使用VHDL語言進行系統(tǒng)開發(fā)的一般步驟、方法和技巧。本課程設(shè)計的基本原理電梯主要是通過控制器來實現(xiàn)其功能。其基本

21、結(jié)構(gòu)原理如圖 1.5.1 。包括 主控制器、分控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客 在電梯中選擇所要到達的樓層,通過主控制器的處理,電梯開始運行,狀態(tài)顯 示器顯示電梯的運行狀態(tài),電梯所在的樓層數(shù)通過譯碼器譯碼從而在樓層 顯示器中顯示。分控制器把有效的請求傳給主控的樓層數(shù)。由于分控制器相對 簡單很多,所以主控制器是核心部分。圖1.5.1電梯控制器原理框圖第 2章 系統(tǒng)設(shè)計2.1 課程設(shè)計的基本方案VHDL( Very High Speed Integrated CircuitHardware Description Language)即超高速集成電路硬件描述語言,主要用于描述

22、數(shù)字系統(tǒng)的行為、 結(jié)構(gòu)、功能和接口。是當今在電子工程領(lǐng)域中通用的硬件描述語言。本文采用 VHD語言來設(shè)計實現(xiàn)梯控制器,其代碼具有良好的可讀性和易理解性。電梯控制器有 9 個狀態(tài)。而等待、上升和下降狀態(tài)是對電梯乘客起主要作 用,因此我們必須弄明白等待、上升和下降這 3 個狀態(tài)的運行及轉(zhuǎn)化條件。在這次課程設(shè)計中,主要運用 EDA(Electronic DesignAutomation )技術(shù) 采用并行工程和“自頂向下”的設(shè)計方法,從系統(tǒng)設(shè)計入手,在頂層進行層次 劃分和結(jié)構(gòu)設(shè)計,在功能模塊一級進行仿真、糾錯,并用 VerilogHDL 等硬件 描述語言對高層次的系統(tǒng)行為進行描述,在系統(tǒng)一級進行驗證,

23、最后用邏輯綜 合優(yōu)化工具生成門級邏輯電路的網(wǎng)表,其對應(yīng)的物理實現(xiàn)可以是印刷電路板或 專用集成電路。自頂向下的設(shè)計方法,就是在整個設(shè)計流程中各設(shè)計環(huán)節(jié)逐步 求精的過程應(yīng)用VHDL運行自頂向下的設(shè)計,就是使用 VHDL莫型在所有綜合級 別上對硬件進行說明、建模和仿真測試。當程序完成以后,通過用 MAX+plusU軟件對程序進行檢錯、糾錯,直到程 序無誤后,對程序進行仿真測試。2.2 層次設(shè)計的方案2.2.1 電梯控制器系統(tǒng)組成框圖電梯控制器系統(tǒng)組成框圖如圖 2.1 所示:圖2.1電梯控制器系統(tǒng)組成框圖注:該方案設(shè)計電梯包括有超載報警和自我故障清除功能,通過各樓層的請求信號輸入控制器中控制電梯升降。

24、該電梯另外設(shè)計了樓層顯示和請求信號顯示。222電梯控制器的狀態(tài)轉(zhuǎn)換圖電梯控制器中等待、上升和下降的狀態(tài)轉(zhuǎn)換圖如2.2所示:圖22 電梯控制器的狀態(tài)轉(zhuǎn)換圖注:該狀態(tài)圖顯示了電梯在不同樓層時接受不同請求信號時的轉(zhuǎn)換過程,通過控制 器內(nèi)部的邏輯運算進而達到準確的運行結(jié)果。2.3源程序設(shè)計電梯控制器源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all;use ieee.std _lo gic_arith.all;en tity dia ntiO isport ( clk : in std_lo

25、gic;-2Hz)full,de ng,quick,clr : in std_logic TOC o 1-5 h z c_u1,c_u2,c_u3: in std_logic;-c_d2,c_d3,c_d4: in std_logic;-d1,d2,d3,d4: in std_logic;-g1,g2,g3,g4: in std_logic;-door : out stdogic_vector(1 downto 0);- led : out stdo gic_vector(6 dow nto 0);-led_c_u:out stdo gic_vector(3 dow nto 0);-led_c

26、_d:out stdo gic_vector(3 dow nto 0);-led_d : out stdo gic_vector(3 dow nto 0);- TOC o 1-5 h z wahaha : out std_logic;-ud,alarm : out std_logic;-up,dow n : out std_logic );-end dia nti0;architecture behav of dia nti0 issignal d11,d22,d33,d44:stdogic;時鐘信號(頻率為超載、關(guān)門中斷、 提前關(guān)門清除報警 信號電梯外人的上升請 求信號電梯外人的下降請 求信

27、號電梯內(nèi)人的請求信 號到達樓層信號電梯門控制信號 電梯所在樓層顯示 電梯外人上升請求 信號顯示電梯外人下降請求 信號顯示電梯內(nèi)請求信號顯 示看門狗報警信號電梯運動方向顯 示,超載警告信號 電機控制信號和電 梯運動電梯內(nèi)人請求信號 寄存信號電梯外人上升請求sig nal c_u11,c_u22,c_u33,c_u44:stdogic;信號寄存信號signal c_d22,c_d33,c_d44:std_logic; -電梯外人下降請求信號寄存信號signal q:integer range 0 to 1;-signal q1:integer range 0 to 6; -signal q2:in

28、teger range 0 to 9; -signal dd,cc_u,cc_d,dd_cc:std_logic_vector(3 downto 0);分頻信號 關(guān)門延時計數(shù)器 看門狗計數(shù)器電梯內(nèi)外請求 信號寄存器signal opendoor:std_logic;-signal updown:std_logic;-開門使能信號 電梯運動方向信號 寄存器signal en_up,en_dw:std_logic; -預(yù)備上升、預(yù)備下 降預(yù)操作使能信號begin com:process(clk) beginif clkevent and clk=1 thenif clr=1 then q1=0;q

29、2=0;wahaha=0;-elsif full=1 then alarm=1; q1=3 then door=10; -else door=00;end if;elsif q=1 then q=0;alarm=0;if q2=3 then wahaha=1; -else清除故障報警超載報警故障報警if opendoor=1 thendoor=10;q1=0;q2=0;up=0;down=0; -elsif en_up=1 then開門操作- 上升預(yù)操作if deng=1 then door=10;q1=0;q2=q2+1;- 關(guān)門中斷elsif quick=1 then q1=3;- 提前關(guān)

30、門elsif q1= 6 then door=00;updown=1;up=3 then door=01;q1=q1+1;-else q1=q1+1;door=00;-end if;elsif en _dw=1 the n關(guān)門完畢,電梯進入上升 狀態(tài)電梯進入關(guān)門狀態(tài)電梯進入等待狀態(tài)-下降預(yù)操作if den g=1 then door=10;q1=0;q2=q2+1;elsif quick=1 then q1=3;elsif q1= 6 then door=00;updow n=0;dow n=3 then door=01;q1=q1+1;else q1=q1+1;door=00;end if;

31、end if;if g1=1 then led=1001111;-if di仁1 or c_u11=1 then d11=0; c_u11=0;ope ndoor000001 then en_up=1; opendoor=0;elsif dd_cc=0000 then ope ndoor=0;end if;elsif g2=1 then led=0010010;-電梯到達1樓,數(shù)碼管顯示1-有當前層的請 求,則電梯進入 開門狀態(tài)-有上升請求,則 電梯進入預(yù)備上 升狀態(tài)-無請求時,電梯 停在1樓待機電梯到達2樓, 數(shù)碼管顯示2 電梯前一運動狀if updow n=1 the n-態(tài)位上升if d

32、22=1 or c_u22=1 then d22=0; c_u22=0;opendoor0011 then en_up=1; opendoor=0;有上升請求,則電 梯進入預(yù)備上升狀 態(tài)elsif dd_cc0010 then en_dw=1; opendoor=0;- 有下降請求,則電 梯進入預(yù)備下降狀 態(tài)end if;電梯前一運動狀態(tài)為下降elsif d22=1 or c_d22=1 then d22=0; c_d22=0;opendoor=1;有當前層的請求, 則電梯進入開門狀 態(tài)elsif dd_cc0010 then en_dw=1; opendoor0011 then en_up=

33、1; opendoor=0;有上升請求,則電 梯進入預(yù)備上升狀 態(tài)end if;elsif g3=1 then led=0000110; -電梯到達 3 樓,數(shù)碼管顯示 3if updow n=1 the nif d33=1 or c_u33=1 then d33=0; c_u33=0;ope ndoor0111 then en_up=1; ope ndoor=0; elsif dd_cc0100 then en_dw=1; ope ndoor=0; end if;elsif d33=1 or c_d33=1 then d33=0; c_d33=0; ope ndoor=1;elsif dd_

34、cc0100 then en_dw=1; ope ndoor0111 then en_up=1; ope ndoor=0; end if;elsif g4=1 then led=1001100;-if updow n=1 the nif d44=1 or c_u44=1 then d44=0; c_u44=0; ope ndoor1111 then en_up=1; ope ndoor=0; elsif dd_cc1000 then en_dw=1; ope ndoor=0; end if;elsif d44=1 or c_d44=1 then d44=0; c_d44=0; ope ndoo

35、r=1;elsif dd_cc1000 then en_dw=1; ope ndoor1111 then en_up=1; ope ndoor=0; end if; TOC o 1-5 h z else en _up=0;e n_dw二0;-end if;end if;else q=1;alarm=0;-電梯到達4樓,數(shù)碼管顯示4電梯進入上升或下 降狀態(tài)清除超載報警 對電梯內(nèi)人請求信 號進行檢測和寄存if d1=1 then d11=d1;-elsif d2=1 then d22=d2; elsif d3=1 then d33=d3; elsif d4=1 then d44=d4;end if

36、;if c_u1=1 then c_u11=c_u1; -對電梯外人上升請求 信號進行檢測和寄存elsif c_u2=1 then c_u22=c_u2;elsif c_u3=1 then c_u33=c_u3;end if;if c_d2=1 then c_d22=c_d2; -對電梯外人下降請求 信號進行檢測和寄存elsif c_d3=1 then c_d33=c_d3;elsif c_d4=1 then c_d44=c_d4;end if;dd=d44&d33&d22&d11; -電梯內(nèi)人請求信號并置cc_u=0&c_u33&c_u22&c_u11; -電梯外人上升請求信號并置cc_d=c_d44&c_d33&c_d22&0; -電梯外人下降請求信號并置dd_cc=dd or cc_u or cc_d;-電梯內(nèi)、外人請求信號進行綜合end if;ud=updown; - led_d=dd; -電梯運動狀態(tài)顯示 電梯內(nèi)人請求信號顯 示led_c_u=cc_u; -電梯外人上升請求信號顯示led_c_dDB J.AIl AR t1口生UPD3DOWND罵G1GZG3GADOORl_E D - 0UULE D_C_Dl-E D_DWAHHHAUDAIL HUI IUPDOUN圖2.5系統(tǒng)頂層元件圖圖中Clk為時鐘信號、Full

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論