液晶顯示接口電路設(shè)計_第1頁
液晶顯示接口電路設(shè)計_第2頁
液晶顯示接口電路設(shè)計_第3頁
液晶顯示接口電路設(shè)計_第4頁
液晶顯示接口電路設(shè)計_第5頁
已閱讀5頁,還剩15頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、EDA技術(shù)課程設(shè)計任務(wù)書班級: 姓名: 學(xué)號: 設(shè)計題目: 液晶顯示接口電路 一、設(shè)計目的進(jìn)一步鞏固理論知識,培養(yǎng)所學(xué)理論知識在實際中的應(yīng)用能力;掌握EDA設(shè)計的一般方法;熟悉一種EDA軟件,掌握一般EDA系統(tǒng)的調(diào)試方法;利用EDA軟件設(shè)計一個電子技術(shù)綜合問題,培養(yǎng)VHDL編程、書寫技術(shù)報告的能力。為以后進(jìn)行工程實際問題的研究打下設(shè)計基礎(chǔ)。二、設(shè)計任務(wù)掌握液晶顯示器(LCD)的基本原理,利用FPGA設(shè)計一個控制液晶顯示模塊(LCM)的接口電路,實現(xiàn)對LCM的有效控制,要求能顯示中文和英文兩種字符,下載并測試電路功能,分析芯片資源的占用情況。三、設(shè)計要求(1)通過對相應(yīng)文獻(xiàn)的收集、分析以及總結(jié),

2、給出相應(yīng)課題的背景、意義及現(xiàn)狀研究分析。(2)通過課題設(shè)計,掌握計算機(jī)組成原理的分析方法和設(shè)計方法。(3)學(xué)習(xí)按要求編寫課程設(shè)計報告書,能正確闡述設(shè)計和實驗結(jié)果。(4)學(xué)生應(yīng)抱著嚴(yán)謹(jǐn)認(rèn)真的態(tài)度積極投入到課程設(shè)計過程中,認(rèn)真查閱相應(yīng)文獻(xiàn)以及實現(xiàn),給出個人分析、設(shè)計以及實現(xiàn)。四、設(shè)計時間安排查找相關(guān)資料(1天)、設(shè)計并繪制系統(tǒng)原理圖(2天)、編寫VHDL程序(2天)、調(diào)試(2天)、編寫設(shè)計報告(2天)和答辯(1天)。五、主要參考文獻(xiàn)1 江國強(qiáng)編著. EDA技術(shù)與實用(第三版). 北京:電子工業(yè)出版社,2011.2 曹昕燕,周鳳臣.EDA技術(shù)實驗與課程設(shè)計.北京:清華大學(xué)出版社,2006.53 閻石

3、主編.數(shù)字電子技術(shù)基礎(chǔ).北京:高等教育出版社,2003.指導(dǎo)教師簽字: 年 月 日16液晶顯示接口電路設(shè)計摘 要本文以顯示漢字和字母字符為例,介紹LCD液晶顯示器接口電路。設(shè)計采用ST7920控制器控制的液晶顯示模塊(LCM),利用FPGA實現(xiàn)對該模塊的控制。設(shè)計從液晶顯示器的原理入手,介紹了液晶顯示模塊的基本設(shè)計方法,其中FPGA技術(shù)為液晶顯示模塊提供控制信號和數(shù)據(jù)輸入信號。設(shè)計采用的液晶顯示器為點陣式,為16×16的點陣。對自定義漢字而言,在16×16的點陣上,根據(jù)漢字的字形,可以得到漢字的字模。而字母的顯示設(shè)計要相對簡單一些,因為有固定的字符庫,根據(jù)字符庫即可得到字符

4、相應(yīng)的編碼,而顯示在16×8的點陣上。為了簡化程序,可以將字模編碼送入存儲器中,然后利用FPGA控制技術(shù),將字模編碼送入顯示模塊中顯示出來。關(guān)鍵詞:EDA,F(xiàn)PGA,LCD,接口電路目 錄第一章 緒論1§1.1 設(shè)計目的和意義1§1.2 設(shè)計任務(wù)及要求1第二章 總體設(shè)計2§2.1 液晶顯示器工作原理2§2.2 系統(tǒng)設(shè)計5§2.2.1 LCD接口電路的設(shè)計5§2.2.2 自定義漢字的顯示6§2.2.3 顯示接口電路的頂層電路7§2.2.4 Mif文件8第三章 系統(tǒng)仿真9§3.1 字符輸入9

5、7;3.1 仿真波形9第四章 源程序10第五章 結(jié)論13參考文獻(xiàn)14第一章 緒論§1.1 設(shè)計目的和意義進(jìn)一步鞏固理論知識,培養(yǎng)所學(xué)理論知識在實際中的應(yīng)用能力;掌握EDA設(shè)計的一般方法;熟悉一種EDA軟件,掌握一般EDA系統(tǒng)的調(diào)試方法;利用EDA軟件設(shè)計一個電子技術(shù)綜合問題,培養(yǎng)VHDL編程、書寫技術(shù)報告的能力。為以后進(jìn)行工程實際問題的研究打下設(shè)計基礎(chǔ)。由于液晶顯示器具有工作電壓低、功耗小、壽命長、易集成、方便攜帶并且顯示信息量大、無輻射、無閃爍等優(yōu)點,進(jìn)行該設(shè)計能使我深入理解液晶顯示的原理和方法,對以后使用和設(shè)計都意義重大。目前市場上大部分LCD的接口是模擬接口,存在著傳輸信號易受

6、干擾、顯示器內(nèi)部需要加入模數(shù)轉(zhuǎn)換電路、無法升級到數(shù)字接口等問題,LCD的數(shù)字接口尚未形成統(tǒng)一標(biāo)準(zhǔn),LCD的關(guān)鍵性的優(yōu)勢卻很難充分發(fā)揮。但隨著數(shù)字時代的到來,數(shù)字接口必將采用代替模擬接口。FPGA既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。FPGA的邏輯塊和連接可以按照設(shè)計者而改變,所以FPGA可以完成所需要的邏輯功能。§1.2 設(shè)計任務(wù)及要求掌握液晶顯示器(LCD)的基本原理,利用FPGA設(shè)計一個控制液晶顯示模塊(LCM)的接口電路,實現(xiàn)對LCM的有效控制,要求能顯示中文和英文兩種字符,下載并測試電路功能,分析芯片資源的占用情況第二章 總體設(shè)計§2.1

7、 液晶顯示器工作原理液晶顯示器采用點陣式,不僅可以顯示中英文字符,而且還可以顯示圖形,根據(jù)設(shè)計要求,能顯示中文和英文兩種字符。對于中文字符,我采用自定義漢字類型,而英文字符的顯示由液晶顯示模塊內(nèi)部提供。采用LMG-SSC12K64DLGY液晶顯示模塊,模塊帶有英文字庫和BIG5碼中文字庫,可顯示4行8個字符,且每個字符為16×16中文字。模塊內(nèi)部的液晶控制器為ST7920,ST7920內(nèi)部內(nèi)置了2MB中文字型ROM(CGROM),提供8192個中文字型(16×16點陣);16KB半寬字型ROM(HCGROM),126個西文字型(16×8點陣);64×16

8、位字符顯示RAM(DDRAM),64×16位字符產(chǎn)生RAM(CGRAM),64×32個字節(jié)的繪圖RAM(GDRAM),以及256點的ICON RAM(IRAM)。通過對ST7920的指令操作,可實現(xiàn)文字和圖形的混合顯示、清屏、光標(biāo)移位、顯示開關(guān)、光標(biāo)顯示/隱藏、顯示字體閃爍、光標(biāo)移位、顯示移位、垂直畫面旋轉(zhuǎn)、反白顯示、休眠等功能。ST7920內(nèi)部有兩個8位的寄存器:數(shù)據(jù)寄存器(DR)和指令寄存器(IR)。通過數(shù)據(jù)寄存器可以存取DDRAM/ CGRAM/ GDRAM/IRAM的值,以及目標(biāo)RAM的地址;通過指令命令選擇數(shù)據(jù)寄存器的存取對象,每次的數(shù)據(jù)寄存器存取動作都將自動地以

9、上次選擇的目標(biāo)RAM地址來進(jìn)行寫入和讀取。表2-1 LMG-SSC12K64DLGY液晶顯示模塊的外部引腳引腳號符號電平功能1VSSGND(0V)2VDDH/LDC+5V3N.CN.C4RSH/L寄存器選擇5R/WH/L讀/寫6EH,H 指向 L使能信號7DB0H/LD08DB1H/LD19DB2H/LD210DB3H/LD311DB4H/LD412DB5H/LD513DB6H/LD614DB7H/LD715A(+)DC+5VLED背光+16K(-)0VLED背光+從外部引腳可知,此LCM有八條數(shù)據(jù)線DB7DB0,三條控制線RS、R/W、E,可以方便地與微處理器、微控制器或者FPGA相連,通過

10、向LCM送入數(shù)據(jù)和指令,就可以使LCM正常工作,這里選擇與FPGA相連。ST7920的寄存器選擇信號RS及讀寫控制信號R/W可選擇控制接口4種讀寫模式,如表2-2所示。表2-2 ST7920的基本操作RSR/W功能說明LL寫指令到指令寄存器(IR)LH讀出忙標(biāo)識(BF)及地址計數(shù)器(AC)的狀態(tài)HL寫數(shù)據(jù)到數(shù)據(jù)寄存器(DR)HH從數(shù)據(jù)寄存器(DR)中讀出數(shù)據(jù)ST7920的指令集包括基本指令和擴(kuò)充指令,其中基本指令有11條(見表3),擴(kuò)充指令有7條(見表4),每條指令的長度都為8位。兩類指令的選擇由選擇控制位RE決定,RE=0,為基本指令,RE=1,為擴(kuò)充指令。將RE的狀態(tài)更改后,后續(xù)指令將維持

11、在這一狀態(tài),除非再次更改RE狀態(tài),否則使用相同指令集時,無需重新設(shè)置RE。表2-3 ST7920的基本指令表指令指令碼描述RSR/WDB7DB6DB5DB4DB3DB2DB1DB0清屏0000000001清除屏幕,光標(biāo)回原位返回000000001X設(shè)DDRAM地址為0,顯示回原位,其內(nèi)容不變輸入方式設(shè)置00000001I/DS設(shè)光標(biāo)移動方向并指定顯示是否移I/D=1:增加;0:減少S=1:顯示移動;默認(rèn)0顯示開關(guān)控制0000001DCBD=1:顯示;0:關(guān)閉C=1:光標(biāo)打開;0:光標(biāo)關(guān)閉B=1:光標(biāo)位字符閃爍;0:不閃爍移位000001S/CR/LXXS/C=1:顯示移動;0:光標(biāo)移動R/L=

12、1:右移;0:左移功能設(shè)置00001DLX0REXXDL=1:8位接口RE:選擇控制位CGRAM地址設(shè)置0001AC5AC4AC3AC2AC1AC0設(shè)CGRAM地址DDRAM地址設(shè)置0010AC5AC4AC3AC2AC1AC0設(shè)DDRAM地址寫數(shù)CG/DDRAM10D7D6D5D4D3D2D1D0寫數(shù)據(jù)到內(nèi)部RAM(DDRAM/CGRAM/GRAM)讀數(shù)據(jù)由CG/DDRAM11D7D6D5D4D3D2D1D0從內(nèi)部RAM(DDRAM/CGRAM/GRAM)讀出數(shù)據(jù)ST7920在接收指令前,F(xiàn)PGA必須先確認(rèn)ST7920處于空閑狀態(tài),即讀取的忙信號位BF為0時,才能接收新的指令。若在送出一條指令

13、前不檢查BF狀態(tài),則需要延時一段時間,確保上一條指令執(zhí)行完畢。ST7920的每條指令長度為8位,但是自定義字型的編碼為16位,必須通過連續(xù)寫入DDRAM兩個字節(jié)數(shù)據(jù)的形式完成顯示,先寫入高字節(jié)(DB15DB8),再寫入低字節(jié)(D7D0)。ST7920字型ROM提供8192個點的16×16中文字形圖像以及126個16×8點的數(shù)字/符號圖像,它使用兩個字節(jié)(中文字形)或一個字節(jié)(數(shù)字/符號)提供字型編碼選擇,配合DDRAM將要顯示的字型碼寫入到DDRAM中,硬件將自動的依照編碼從CGROM或HCGROM中將要顯示的字型顯示在液晶屏上。ST7920的CGRAM提供四個16

14、5;16點的自定義圖像空間,可實現(xiàn)造字功能。自定義字型一次最多只能定義4個,使用者也可以將內(nèi)部字型未提供的圖像字型定義到CGRAM中,便可通過 DDRAM 顯示在屏幕中。§2.2 系統(tǒng)設(shè)計FPGAST7920LCD液晶顯示屏行驅(qū)動器組列驅(qū)動器組RSDB0DB7R/WELCM§2.2.1 LCD接口電路的設(shè)計圖2-1 FPGA與液晶模塊的接口電路FPGA與液晶模塊的接口電路如圖2-1所示。其中,D0D7為數(shù)據(jù)總線接口,R/W=1時,為讀操作,R/W=0時,為寫操作。RS為寄存器選擇信號,為0時為選擇命令寄存器,為1時選擇數(shù)據(jù)寄存器。對LCM的寫命令操作可分為如下幾個步驟:1)

15、 RS=0,R/W=0,EN=0;設(shè)定工作方式為寫命令寄存器。2) RS=0,R/W=0,EN=1,DB=具體命令字;使能LCM,將命令字寫入LCM。3) RS=0,R/W=0,EN=0;寫命令工作結(jié)束。對LCM的寫顯示數(shù)據(jù)操作可分為如下幾個步驟:1) RS=1,R/W=0,EN=0;設(shè)定工作方式為寫數(shù)據(jù)寄存器。2) RS=1,R/W=0,EN=1,DB=具體顯示數(shù)據(jù);使能LCM,將顯示內(nèi)容寫入LCM。3) RS=1,R/W=0,EN=0;寫顯示數(shù)據(jù)結(jié)束。程序設(shè)計時,可采用狀態(tài)機(jī)控制各個步驟的進(jìn)行。§2.2.2 自定義漢字的顯示對于自定義漢字的顯示,首先需要獲得自定義漢字的字模。我設(shè)

16、計的是顯示“農(nóng)電”兩個字,根據(jù)字??梢缘玫竭@兩個漢字的編碼,每取8個點作為一個字節(jié),如果最后不足8個點則補(bǔ)滿8位。ST7920提供16×16的點陣,可以顯示四個自定義的漢字,農(nóng)電兩個字的字模就是由16×16點陣而來的?!稗r(nóng)”“電”兩字的字模如下:農(nóng)的字模:DB 00H 00H 00H 80H 00H 80H 01H 00H 3FH F3H 21H 04H 02H 00H 05H 00HDB 08 84H 18H 48H 28H 30H 48H 10H 88H 08H 0AH 04H 0CH 03H 00H 00H 電的字模:DB 00H 00H 01H 80H 01H 80

17、H 01H 80H 1FH F8H 11H 88H 11H 88 1FH F8H DB 1FH F8H 11H 88H 11H 88H 11H 88H 1FH F8H 01H 83H 01H FFH 00H 00H要注意的是:1) 在將字模放入CGRAM之前,一定要先設(shè)定CGRAM的地址。2) 在顯示數(shù)據(jù)之前,一定要把自定義漢字的字模放入CGRAM中。3) CGROM的內(nèi)容確定后,要指定在液晶屏上的顯示位置,即設(shè)定DDRAM的地址。§2.2.3 顯示接口電路的頂層電路圖2-2 顯示接口電路的頂層電路原理圖lpm模塊為存儲器模塊,自定義漢字的字模編碼就存儲在里面并且當(dāng)控制信號到來時,將

18、字模送入lcdcgram模塊并通過該模塊顯示出字形。sclk為系統(tǒng)時鐘,start為啟動顯示信號,clear為清零信號,lcden為LCM使能輸入端,lcdr/w為LCM讀/寫輸入端,lcdd/i為LCM寄存器選擇輸入端,lcdd70為LCM數(shù)據(jù)輸入端。lpm_rom0中存放的是RAM的地址和字模編碼,大大簡化了程序的設(shè)計。§2.2.4 Mif文件自定義字形顯示“農(nóng)”“電”時,依據(jù)字形的字模數(shù)據(jù)設(shè)置存儲器的存儲數(shù)據(jù),存儲器的mif文件內(nèi)容圖2-3所示。圖2-3 農(nóng)電的mif文件第三章 系統(tǒng)仿真§3.1 字符輸入此電路的仿真主要關(guān)注在時鐘及輸入信號的控制下,F(xiàn)PGA是否能夠輸

19、出滿足LCM時序的控制信號。若存儲器中存放數(shù)據(jù),仿真的波形如圖3-1,3-2所示。由波形圖可看出,在時鐘信號的作用下,對LCM的操作為寫操作,與送入LCM的數(shù)據(jù)mif文件一致。圖3-1為“農(nóng)”字的部分輸入§3.1 仿真波形圖3-2 自定義字形仿真波行第四章 源程序顯示“農(nóng)”“電”兩個自定義字行的VHDL的源程序如下:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY lcdcgram ISPORT(sclk,start,

20、clear :in std_logic; prom_data:in std_logic_vector(8 downto 0); en,r_w,rs:out std_logic; promadr:buffer std_logic_vector(7 downto 0); db:out std_logic_vector(7 downto 0); leddata:out std_logic_vector(8 downto 0);END lcdcgram;ARCHITECTURE arch OF lcdcgram IStype state is(s0,s1,s2);signal sta ,clr_sta

21、:state;signal clk:std_logic;signal count:std_logic_vector(8 downto 0);signal reg_rs:std_logic_vector(0 to 10);signal lcddata:std_logic_vector(8 downto 0);signal init:std_logic;signal lcx:std_logic;beginprocess(sclk)beginif clear='1' then count<="000000000"elsif sclk'event an

22、d sclk='1' then count<=count+1;end if;end process;clk<=count(8);-process(clear,start,sclk,clk)process(clear,start,sclk)beginif sclk'event and sclk='1' thenif clear='1' then sta<=s0; init<='0' promadr<="00000000"case clr_sta is when s0=>

23、rs<='0'r_w<='0'en<='0'clr_sta<=s1; when s1=>rs<='0'r_w<='0'en<='1'clr_sta<=s2;db<="00000001" when s2=>rs<='0'r_w<='0'en<='0'clr_sta<=s0; when others=>null; end case;else if start ='1' then lcx<=clk; if lcx='0' and clk='1' then if promadr<="01001011" then lcddata<=prom_data; leddata<=lcddata; case sta is when s0=>rs<=(not lcddata(8);r_w<='0'en<='0'sta<=s1; when s1=>rs<

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論