VLSI課內(nèi)實(shí)驗(yàn)——RTL級并行前綴加法器設(shè)計(jì)_第1頁
VLSI課內(nèi)實(shí)驗(yàn)——RTL級并行前綴加法器設(shè)計(jì)_第2頁
VLSI課內(nèi)實(shí)驗(yàn)——RTL級并行前綴加法器設(shè)計(jì)_第3頁
VLSI課內(nèi)實(shí)驗(yàn)——RTL級并行前綴加法器設(shè)計(jì)_第4頁
VLSI課內(nèi)實(shí)驗(yàn)——RTL級并行前綴加法器設(shè)計(jì)_第5頁
已閱讀5頁,還剩1頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、VLSI課內(nèi)實(shí)驗(yàn)RTL級并行前綴加法器設(shè)計(jì) 班 級: 學(xué) 號: 姓 名: 5RTL級并行前綴加法器設(shè)計(jì)一、加法器簡介算術(shù)邏輯部件主要處理算術(shù)運(yùn)算指令和邏輯運(yùn)算指令,它的核心單元是加法器。這個(gè)加法器是影響算術(shù)邏輯部件整體性能的關(guān)鍵局部,因?yàn)閹缀跛械乃阈g(shù)運(yùn)算和邏輯運(yùn)算,都要通過它來完成。 加法器結(jié)構(gòu)包括串行進(jìn)位加法器(Carry Ripple Adder,CRA)、進(jìn)位跳躍加法器(Cany Skip Adder,CKA),以及較高速度的進(jìn)位選擇加法器(carry select Adder,CSA)、超前進(jìn)位加法器(Carry Look ahead Adder,CLA)和并行前綴加法器(Paral

2、lel Prefix Adder)等。除上述五種加法器結(jié)構(gòu)外,還有采取多加法器并聯(lián)的流水線加法器和專用的加法器,如支持向量的快速加法器等等,本設(shè)計(jì)主要是用VHDL描述一個(gè)RTL級并行前綴加法器。二、原理及設(shè)計(jì)思路并行前綴加法器是超前進(jìn)位的一種改良結(jié)構(gòu),它將n位加法器的進(jìn)位傳播信號層次化地分解為m位子組合,并將進(jìn)位產(chǎn)生和進(jìn)位傳播組織成遞歸的樹型結(jié)構(gòu)。并行前綴加法器使用一種特殊的方式產(chǎn)生各位的進(jìn)位輸出,這種方式稱為“前綴運(yùn)算(Prefix Compution)。所有進(jìn)位產(chǎn)生和傳播信號并行地通過前綴運(yùn)算單元進(jìn)行運(yùn)算,同時(shí)輸出進(jìn)位信號。各個(gè)前綴運(yùn)算單元通過遞歸的方式連接起來,即可形成整個(gè)加法器。對于并

3、行前綴加法器,有以下定義:兩個(gè)操作數(shù):,。其中。同時(shí)有操作:,稱gi為進(jìn)位產(chǎn)生位,pi為進(jìn)位傳輸位。這兩個(gè)操作很好理解:不計(jì)進(jìn)位輸入,當(dāng)ai,bi同時(shí)為1時(shí),gi為1,此時(shí)會(huì)產(chǎn)生進(jìn)位;如果有進(jìn)位輸入,那么ai,bi有一個(gè)為1的時(shí)候就會(huì)產(chǎn)生進(jìn)位。由此定義前綴操作“:令,那么定義前綴操作后,不難發(fā)現(xiàn),前綴只涉及到操作數(shù)固定的兩對位,而不是整個(gè)操作數(shù),這樣就可以將每個(gè)進(jìn)位簡單的以某系位表示,而不用整個(gè)操作數(shù)。雖然從上面看前綴加法器做成一個(gè)級聯(lián)進(jìn)位加法器更容易,但是由于定義的前綴運(yùn)算具有結(jié)合律,冪等性等優(yōu)秀性質(zhì),所以可將以上串行加法操作轉(zhuǎn)換成并行加法操作。其中,結(jié)合律允許前綴等式中的每一個(gè)子項(xiàng)進(jìn)行預(yù)計(jì)

4、算,這也就意味著上面提到的串行計(jì)算可以被分解為多個(gè)并行計(jì)算的過程。同時(shí),冪等律允許這些并行計(jì)算的子項(xiàng)相互之間可以重疊,這樣就使并行計(jì)算具有很大的靈活性,從而到達(dá)快速做加法運(yùn)算的目的。三、實(shí)現(xiàn)方案目前,通常使用的有基于KS樹,LF樹和BK樹三種結(jié)構(gòu),如圖13所示。圖1 基于KS樹的加法器結(jié)構(gòu)圖2 基于LF樹的加法器結(jié)構(gòu)圖3 基于BK樹的加法器結(jié)構(gòu)在以上三種樹結(jié)構(gòu)中,KS樹與BK樹每個(gè)節(jié)點(diǎn)最多只有一個(gè)輸出項(xiàng),因而加法器扇出最小,LF可能同時(shí)又四個(gè)分支,所以總扇出最大;LF樹有最小的邏輯深度,KS樹的邏輯深度其次,BK樹邏輯深度最大。綜合上述考慮,本設(shè)計(jì)重點(diǎn)是如何設(shè)計(jì)出一種更快的加法器來替代逐級進(jìn)位

5、加法器,故采用LF樹結(jié)構(gòu)來設(shè)計(jì)加法器。四、設(shè)計(jì)結(jié)果用QuartusII軟件編寫VHDL,其仿真波形如圖4所示。圖4 QuartusII的LF并行前綴加法器仿真結(jié)果五、結(jié)論對于N位加法運(yùn)算,并行前綴加法器最少只需logN步就可完成進(jìn)位的運(yùn)算,因此也稱為“對數(shù)超前進(jìn)位加法器。并行前綴加法器的運(yùn)算速度是各種加法器結(jié)構(gòu)中最快的,同時(shí),它由許多相同的前綴運(yùn)算單元組成,結(jié)構(gòu)規(guī)整,容易實(shí)現(xiàn)。由于這些優(yōu)點(diǎn),并行前綴加法器成為當(dāng)前最常用的高速加法器結(jié)構(gòu)??梢钥闯觯羞M(jìn)位加法器速度最慢,面積最??;進(jìn)位跳躍加法器速度有很大提高,而面積只有較小的增加;進(jìn)位選擇加法器和超前進(jìn)位加法器的速度相差不大,都能到達(dá)較快的速度

6、,但超前進(jìn)位加法器的面積比進(jìn)位選擇加法器大出10倍以上。因此單一的超前進(jìn)位加法器在位數(shù)較大時(shí)很不實(shí)用,性價(jià)比很低,必須與其它結(jié)構(gòu)進(jìn)行組合;并行前綴加法器速度最快,是進(jìn)位選擇加法器的1.5倍,而其面積卻相對增長較少,比進(jìn)位選擇加法器略高,只有超前進(jìn)位加法器的14%左右。分析結(jié)果說明,并行前綴加法器具有速度和面積兩方面的優(yōu)勢,是設(shè)計(jì)時(shí)首選的結(jié)構(gòu)。由于并行前綴加法器優(yōu)秀的性能特性和較小的面積代價(jià),因此得到了廣泛的應(yīng)用。附:源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder i

7、sport(a :in std_logic_vector(7 downto 0); b :in std_logic_vector(7 downto 0); ci :in std_logic; clk:in std_logic; s :out std_logic_vector(7 downto 0); co :out std_logic);end entity;architecture behav of adder is signal g:std_logic_vector(7 downto 0); signal p:std_logic_vector(7 downto 0); signal c:s

8、td_logic_vector(7 downto 0);begin process(clk) begin g(7) <= a(7) and b(7); p(7) <= a(7) xor b(7); g(6) <= a(6) and b(6); p(6) <= a(6) xor b(6); g(5) <= a(5) and b(5); p(5) <= a(5) xor b(5); g(4) <= a(4) and b(4); p(4) <= a(4) xor b(4); g(3) <= a(3) and b(3); p(3) <= a(

9、3) xor b(3); g(2) <= a(2) and b(2); p(2) <= a(2) xor b(2); g(1) <= a(1) and b(1); p(1) <= a(1) xor b(1); g(0) <= a(0) and b(0); p(0) <= a(0) xor b(0); g(7) <= g(7) xor (p(7) and g(6); p(7) <= p(7) and p(6); g(5) <= g(5) xor (p(5) and g(4); p(5) <= p(5) and p(4); g(3) &l

10、t;= g(3) xor (p(3) and g(2); p(3) <= p(3) and p(2); g(1) <= g(1) xor (p(1) and g(0); p(1) <= p(1) and p(0); g(7) <= g(7) xor (p(7) and g(5); p(7) <= p(7) and p(5); g(6) <= g(6) xor (p(6) and g(5); p(6) <= p(6) and p(5); g(3) <= g(3) xor (p(3) and g(1); p(3) <= p(3) and p(1

11、); g(2) <= g(2) xor (p(2) and g(1); p(2) <= p(2) and p(1); g(7) <= g(7) xor (p(7) and g(3); p(7) <= p(7) and p(3); g(7) <= g(7) xor (p(7) and g(2); p(7) <= p(7) and p(2); g(7) <= g(7) xor (p(7) and g(1); p(7) <= p(7) and p(1); g(7) <= g(7) xor (p(7) and g(0); p(7) <= p(7) and p(0); s(7) <= p(7) xor g(6); s(6) <= p(6) xor g(5); s(5) <= p(5) xor g(4); s(4) <= p(4) xor g(3); s(3) <= p(3) xor g

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論