機(jī)械行業(yè)2024年投資策略分析報(bào)告:技術(shù)變革增量需求科技板塊復(fù)蘇_第1頁
機(jī)械行業(yè)2024年投資策略分析報(bào)告:技術(shù)變革增量需求科技板塊復(fù)蘇_第2頁
機(jī)械行業(yè)2024年投資策略分析報(bào)告:技術(shù)變革增量需求科技板塊復(fù)蘇_第3頁
機(jī)械行業(yè)2024年投資策略分析報(bào)告:技術(shù)變革增量需求科技板塊復(fù)蘇_第4頁
機(jī)械行業(yè)2024年投資策略分析報(bào)告:技術(shù)變革增量需求科技板塊復(fù)蘇_第5頁
已閱讀5頁,還剩84頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

證券研究報(bào)告

|策略研究

|

2023年11月19日機(jī)

團(tuán)

隊(duì)

?

報(bào)

告方正機(jī)械2024年年度策略報(bào)告:技術(shù)變革催生增量需求,科技板塊引領(lǐng)復(fù)蘇報(bào)告摘要結(jié)合10月最新PMI數(shù)據(jù),經(jīng)濟(jì)仍維持弱復(fù)蘇。我們認(rèn)為技術(shù)革新周期帶動(dòng)的新需求為后續(xù)投資主線,重點(diǎn)關(guān)注:半導(dǎo)體設(shè)備——國產(chǎn)化突破+周期拐點(diǎn)+新技術(shù)需求,消費(fèi)電子創(chuàng)新周期——鈦材結(jié)構(gòu)設(shè)備、3C設(shè)備、AI+終端新需求;人形機(jī)器人——迎接2024產(chǎn)業(yè)新階段,關(guān)注執(zhí)行器方向;工業(yè)母機(jī)——戰(zhàn)略加碼,國產(chǎn)化持續(xù)突破。一、半導(dǎo)體設(shè)備板塊:1)前道設(shè)備關(guān)注上行周期中的

主線:當(dāng)前需求端和庫存端均出現(xiàn)環(huán)比改善,存儲(chǔ)芯片價(jià)格持續(xù)提升,預(yù)期2024年半導(dǎo)體資本開支上行,設(shè)備國產(chǎn)化斜率有望進(jìn)一步提升。建議關(guān)注:中微公司、拓荊科技、北方華創(chuàng)、華海清科、芯源微、精測電子、盛美上海、富創(chuàng)精密、新萊應(yīng)材、英杰電氣;2)先進(jìn)封裝關(guān)注增量設(shè)備需求:以HPC為代表的應(yīng)用為先進(jìn)封裝市場提速,新工藝催生相關(guān)設(shè)備需求。建議關(guān)注:文一科技、耐科裝備、光力科技、華封科技(未上市);3)封測端景氣度回升下關(guān)注底部標(biāo)的:Q3設(shè)計(jì)公司和封測廠數(shù)據(jù)顯示封測端景氣度有所恢復(fù),年初以來后道設(shè)備公司受景氣度影響業(yè)績存在一定程度的下滑,目前來到估值相對底部。建議關(guān)注:長川科技、華峰測控、金。三、人形機(jī)器人板塊:關(guān)注產(chǎn)業(yè)化趨勢下的硬件投資機(jī)會(huì):Tesla宣稱于11月完成行走測試,產(chǎn)業(yè)化趨勢逐漸明朗,執(zhí)行器作為降本的關(guān)鍵環(huán)節(jié)值得關(guān)注。建議關(guān)注:綠的諧波、雙環(huán)傳動(dòng)、貝斯特、精鍛科技、華辰裝備。二、消費(fèi)電子板塊:1)鈦材新機(jī)帶來的結(jié)構(gòu)性需求:鈦材新機(jī)密集發(fā)布,主流加工方式為CNC/3D打印,材料特性和新的加工方式催生新的設(shè)備需求。建議關(guān)注:金太陽、沃爾德、鼎泰高科、創(chuàng)世紀(jì)、鉑力特、華曙高科;2)行業(yè)基本面復(fù)蘇帶來的反彈機(jī)會(huì):智能手機(jī)終端銷量數(shù)據(jù)亮眼,消費(fèi)電子行業(yè)有望回暖。建議關(guān)注具備底部反彈邏輯的3C設(shè)備標(biāo)的:快克智能、安達(dá)智能、凱格精機(jī)。四、通用板塊:關(guān)注順周期復(fù)蘇:制造業(yè)數(shù)據(jù)顯示生產(chǎn)端仍在景氣區(qū)間,短中期關(guān)注順周期復(fù)蘇;長期維度關(guān)注產(chǎn)業(yè)升級(jí)下的數(shù)控機(jī)床的高端化與自主可控。建議關(guān)注:伊之密、海天精工、紐威數(shù)控、柏楚電子、歐科億、華銳精密。五、工程機(jī)械板塊:出口長邏輯繼續(xù)演繹,關(guān)注內(nèi)需企穩(wěn)復(fù)蘇:工程機(jī)械出口數(shù)據(jù)呈現(xiàn)分化但是長邏輯不改變,內(nèi)銷相對疲弱,部分細(xì)分產(chǎn)品出現(xiàn)環(huán)比改善,期待內(nèi)需企穩(wěn)復(fù)蘇。建議關(guān)注:浙江鼎力、杭叉集團(tuán)、安徽合力、三一重工、徐工機(jī)械、中聯(lián)重科、恒立液壓。風(fēng)險(xiǎn)提示:宏觀經(jīng)濟(jì)復(fù)蘇不及預(yù)期風(fēng)險(xiǎn)、下游需求回暖不及預(yù)期風(fēng)險(xiǎn)、新技術(shù)滲透率提升不及預(yù)期風(fēng)險(xiǎn)、市場空間等測算存在主觀因素,僅供參考2目錄123456板塊行情回顧半導(dǎo)體板塊:關(guān)注前道后道的先進(jìn)封裝和景氣度回升人形機(jī)器人板塊:行走測試或迎來催化,關(guān)注關(guān)節(jié)模組核心部件消費(fèi)電子板塊:關(guān)注鈦材新機(jī)的結(jié)構(gòu)性機(jī)會(huì)和行業(yè)基本面復(fù)蘇通用板塊:短中期關(guān)注順周期復(fù)蘇,長期關(guān)注需求結(jié)構(gòu)升級(jí)工程機(jī)械板塊:關(guān)注內(nèi)需企穩(wěn)及復(fù)蘇3資料:方正證券研究所機(jī)械板塊行情復(fù)盤機(jī)械板塊年初至三季度末上漲8.47%,滬深300指數(shù)年初至三季度末下跌4.7%。機(jī)械板塊跑贏大盤13.17個(gè)百分點(diǎn),漲幅居于所有板塊第14位。據(jù)中信分類,機(jī)械子行業(yè)年初至今漲幅較大的有:叉車(+52.52%),3C設(shè)備(+45.98%),船舶制造(+30.31%),電梯(+21.6%),服務(wù)機(jī)器人(+21.32%);年初至今跌幅較大的有:光伏設(shè)備(-26.89%),鋰電設(shè)備(-16.34%),激光加工設(shè)備(-1.31%),鍋爐設(shè)備(-0.33%),金屬制品Ⅲ(-0.18%)。2023年年初至Q3末各行業(yè)漲跌幅(中信行業(yè)分類)2023年年初至Q3末各機(jī)械子行業(yè)漲跌幅(中信行業(yè)分類)70605040302010057.2043.616050403020100-10-20-30-4052.534.6133.6846.030.315.9221.6

21.314.7913.2213.0017.710.2710.25

9.61

9.3614.2

14.0

13.6

13.311.4

11.2

10.7

10.68.99

8.476.958.9

8.4

7.95.32

5.30

5.267.35.6

5.12.172.1-0.21

-0.69(0.2)

(0.3)

(1.3)-10-20-30-40-2.85

-3.87-4.34

-4.44-8.36

-8.60-10.23-12.39(16.3)(26.9)-27.054資料:wind,方正證券研究所機(jī)械板塊行情復(fù)盤2023年三季度末最后一個(gè)交易日(9月28日),全部A股PE為13.85倍,機(jī)械板塊PE為26.67倍,近一年歷史分位數(shù)為7.14%,近三年歷史分位數(shù)為14.59%,近五年歷史分位數(shù)為67.28%;全部A股PB為1.51倍,機(jī)械板塊PB為2.52倍,近一年歷史分位數(shù)為26.92%,近三年歷史分位數(shù)為12.32%,近五年歷史分位數(shù)為60.15%。機(jī)械板塊及全部A股市盈率走勢(TTM,整體法)機(jī)械板塊及全部A股市凈率走勢(整體法,最新)全部A股CS機(jī)械全部A股CS機(jī)械14012010080654321060402005資料:wind,方正證券研究所機(jī)械板塊業(yè)績復(fù)盤機(jī)械板塊各個(gè)細(xì)分行業(yè)前三季度業(yè)績及變動(dòng)情況(中信分類,營收和利潤統(tǒng)計(jì)板塊合計(jì))我們統(tǒng)計(jì)了2023年前三季度細(xì)分子行業(yè)的營業(yè)收入及利潤情況:營業(yè)收入(億元)179.64252.23311.06644.19415.92923.01317.62261.4747.42歸母凈利潤(億元)15.3528.8716.6930.1673.6517.1531.8523.3912.9417.78161.5421.1546.7061.52142.127.72同比變動(dòng)

歸母凈

同比變動(dòng)板塊yoyyoy毛利率(pct)利率8.54%11.45%5.37%4.68%17.71%1.86%10.03%8.95%27.28%7.26%7.45%6.33%10.97%7.08%5.50%2.03%11.48%9.62%10.48%7.95%9.35%5.16%(pct)-0.436.84業(yè)績增速:增幅處于前五的細(xì)分子行業(yè)是激光加工設(shè)備、機(jī)床設(shè)備、工業(yè)機(jī)器人及工控系統(tǒng)、船舶制造和光伏設(shè)備;跌幅處于前五的子行業(yè)包括塑料加工機(jī)械(由盈轉(zhuǎn)虧)、其他運(yùn)輸設(shè)備、核電設(shè)備(虧損收窄)、鍋爐設(shè)備(虧損擴(kuò)大)。激光加工設(shè)備機(jī)床設(shè)備444.57%30.03%36.03%43.73%61.46%135.70%81.48%9.86%418.47%

35.40%

-2.5900223.26%

29.35%1.13220.48951.84431.4313-5.57422.94693.62778.41822.21484.25331.68321.37752.5868工業(yè)機(jī)器人及工控系統(tǒng)船舶制造87.43%78.80%65.20%61.58%58.93%56.99%47.58%30.64%27.02%25.17%24.34%22.28%21.26%15.55%15.33%11.87%11.74%4.24%24.17%12.29%32.19%7.99%1.470.92光伏設(shè)備0.40金屬制品Ⅲ鋰電設(shè)備-0.85-1.422.6931.98%20.15%37.67%24.42%25.36%18.13%41.06%23.50%叉車高空作業(yè)車油氣裝備13.77%19.99%3.71%6.25毛利率:同比增幅變動(dòng)前五的的子行業(yè)為核電設(shè)備、高空作業(yè)車、工程機(jī)械Ⅲ、叉車和其他通用機(jī)械;同比降幅變動(dòng)比較明顯的子行業(yè)包括金屬制品Ⅲ、激光加工設(shè)備、塑料加工機(jī)械、其他運(yùn)輸設(shè)備和鐵路交通設(shè)備。244.822,168.29334.06425.65868.510.59工程機(jī)械Ⅲ電梯1.37-1.56%16.63%8.07%1.35儀器儀表Ⅲ礦山冶金機(jī)械鐵路交通設(shè)備起重運(yùn)輸設(shè)備基礎(chǔ)件0.680.822,584.56

33.68%21.04%

-1.564415.16%

-0.1382-0.56-0.040.75379.81705.0365.6018.05%7.78%-4.03%6.78%80.916.3127.96%1.14463C設(shè)備37.64%

-0.43881.37歸母凈利率:同比增幅變動(dòng)前五的子行業(yè)包括核電設(shè)備、機(jī)床設(shè)備、高空作業(yè)車、叉車和工業(yè)機(jī)器人及工控系統(tǒng);同比降幅變動(dòng)前五的子行業(yè)為塑料加工機(jī)械、紡織服裝機(jī)械、其他運(yùn)輸設(shè)備、鍋爐設(shè)備和鋰電設(shè)備。其他通用機(jī)械其他專用機(jī)械服務(wù)機(jī)器人紡織服裝機(jī)械鍋爐設(shè)備789.9982.78218.0222.568.0324.38%25.52%42.61%3.31580.04471.66470.55380.472,740.89

15.00%-0.82-1.16-4.11-1.587.69241.29155.7312.346.11%25.75%13.84%14.23%-13.04%12.44%-5.57%-30.06%

23.66%-0.25-1.303.39虧損擴(kuò)大

17.81%

-0.7662

-2.00%虧損收窄

19.26%

9.8548

-11.14%-89.11%

14.15%

-1.8535

0.35%由盈轉(zhuǎn)虧

21.33%

-2.0652

-6.08%核電設(shè)備11.66其他運(yùn)輸設(shè)備塑料加工機(jī)械978.04124.21-2.42-6.20-7.556資料:wind,方正證券研究所機(jī)械板塊業(yè)績復(fù)盤我們統(tǒng)計(jì)了2023年第三季度細(xì)分子行業(yè)的營業(yè)收入及利潤情況:機(jī)械板塊各個(gè)細(xì)分行業(yè)第三季度業(yè)績及變動(dòng)情況(中信分類,營收和利潤統(tǒng)計(jì)板塊合計(jì)數(shù)據(jù))營業(yè)收入(億元)歸母凈利潤(億元)同比變動(dòng)

環(huán)比變動(dòng)

歸母凈

同比變動(dòng)

環(huán)比變動(dòng)yoyqoqyoyqoq毛利率(pct)

(pct)利率(pct)

(pct)激光加工設(shè)備鋰電設(shè)備金屬制品Ⅲ船舶制造光伏設(shè)備叉車65.49

387.53%

0.47%135.98

97.90%

25.71%314.42

128.03%

-4.14%278.53

61.72%

11.95%165.19

65.77%

11.73%5.63

310.74%

-0.34%

36.68%

-0.091.190.780.969.19-0.841.2111.741.550.21-2.05-0.140.931.76-1.081.301.710.36-0.120.860.320.712.270.88-0.098.59%

-1.61

-5.53業(yè)績增速:Q3單季度業(yè)績環(huán)比下降的子行業(yè)較多,船舶制造和鋰電設(shè)備環(huán)比表現(xiàn)亮眼,同比增速方面,處于前列的子行業(yè)包括激光加工設(shè)備、鋰電設(shè)備、金屬制品Ⅲ、船舶制造和光伏設(shè)備。15.535.1366.14%

45.34%

32.52%61.89%

-24.83%

8.63%60.20%

194.79%

17.03%0.81-4.234.7411.42%1.63%7.82%17.14%9.69%28.10%4.93%7.42%6.26%8.45%11.50%-2.18-0.67-0.07-1.052.543.390.740.941.421.150.39-0.16-1.324.7921.7728.328.7156.23%6.97%32.27%1.18-5.82-2.46-7.30-1.82-4.08-2.521.1289.8716.4413.33%0.65%53.61%

-3.61%

21.66%52.72%

-9.83%

43.83%4.48高空作業(yè)車34.32%

-8.52%4.6211.47工業(yè)機(jī)器人及工控系統(tǒng)

109.83

28.68%

-1.04%

5.41

51.32%

3.02%

24.41%

1.61油氣裝備礦山冶金機(jī)械服務(wù)機(jī)器人基礎(chǔ)件81.80303.5789.5224.69%

-14.43%6.92%

-0.02%16.42%

-0.19%9.02%6.0719.017.5742.82%

-36.94%

25.21%38.22%

-22.19%

22.37%34.77%

-19.50%

41.89%2.462.872.610.82260.35

16.70%29.9420.79%4.87%28.23%-1.44毛利率:環(huán)比變動(dòng)總體穩(wěn)定,船舶制造和高空作業(yè)車的同環(huán)比均有大幅提升。鐵路交通設(shè)備儀器儀表Ⅲ其他通用機(jī)械其他專用機(jī)械電梯949.52

28.75%

-1.82%

55.44

17.63%

-6.79%

22.34%

-0.355.84%

-0.55

-1.56159.13

17.56%1.65%-5.18%-2.75%17.7828.5778.967.7617.54%

-17.10%

41.02%5.80%

-12.73%

25.67%1.433.480.782.2421.331.8011.18%10.80%8.17%6.23%6.19%-1.33%0.000.37-0.650.680.900.60-3.61-2.96-0.24-3.15-2.815.25264.49966.202.20%6.64%-1.26%1.64%26.42%歸母凈利率:同比變動(dòng)的中樞基本穩(wěn)定,環(huán)比下滑的細(xì)分子行業(yè)較多,核電設(shè)備的同環(huán)比均有大幅提升,較為亮眼。124.66

-14.33%

-0.14%-3.85%

-18.87%

18.70%-5.59%

-43.90%

25.69%工程機(jī)械Ⅲ鍋爐設(shè)備642.95

-19.33%

-21.64%

39.794.47

21.88%

3.46%-0.06

虧損收窄

虧損擴(kuò)大

18.51%起重運(yùn)輸設(shè)備紡織服裝機(jī)械3C設(shè)備149.17

31.95%

17.27%

1.78

-27.88%

-38.79%

14.93%

-0.011.19%

-0.99

-1.8453.4742.37%1.19%3.251.37-39.52%

8.61%24.43%0.40-0.3613.91-2.976.07%6.27%-3.35%0.09%-8.22-5.0118.21-1.413.41-11.2610.684.0721.84

-30.78%

-6.48%-61.52%

-62.68%

37.78%核電設(shè)備4.4113.46%7.65%2.09%-0.15

虧損收窄

虧損收窄

21.04%0.32

-94.54%

-84.44%

14.05%其他運(yùn)輸設(shè)備機(jī)床設(shè)備355.13

-7.44%85.17

28.36%

-2.41%

9.19

-298.81%

-14.32%

30.11%

1.3639.91

2.65%

-12.25%

-2.02

由盈轉(zhuǎn)虧

虧損擴(kuò)大

21.76%

-2.280.14

10.79%

17.75

-4.890.13

-5.06%

-6.18

6.42塑料加工機(jī)械7資料:wind,方正證券研究所目錄123456板塊行情回顧半導(dǎo)體板塊:關(guān)注前道后道先進(jìn)封裝和景氣度回升人形機(jī)器人板塊:行走測試或迎來催化,關(guān)注關(guān)節(jié)模組核心部件消費(fèi)電子板塊:關(guān)注鈦材新機(jī)的結(jié)構(gòu)性機(jī)會(huì)和行業(yè)基本面復(fù)蘇通用板塊:短中期關(guān)注順周期復(fù)蘇,長期關(guān)注需求結(jié)構(gòu)升級(jí)工程機(jī)械板塊:關(guān)注內(nèi)需企穩(wěn)及復(fù)蘇8資料:方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律近千億美元規(guī)模的的半導(dǎo)體設(shè)備撬動(dòng)五千多億半導(dǎo)體器件市場規(guī)模。半導(dǎo)體產(chǎn)業(yè)下游為半導(dǎo)體應(yīng)用產(chǎn)業(yè),包括3C、汽車、工業(yè)等,中游為半導(dǎo)體制造,具體而言,包括設(shè)計(jì)、制造和封測三個(gè)環(huán)節(jié),終端器件中歸屬于集成電路的存儲(chǔ)芯片和邏輯芯片占據(jù)主要份額。上游為半導(dǎo)體設(shè)備和材料,為芯片制造提供工具和原材料。2022年全球半導(dǎo)體銷售額大約為5740.84億美元,設(shè)備市場規(guī)模則達(dá)到了1076.4億美元。2023年行業(yè)處于下行周期,SEMI預(yù)測半導(dǎo)體設(shè)備市場規(guī)模下滑至870億美元。半導(dǎo)體設(shè)備投資額占據(jù)下游資本開支的主要份額:半導(dǎo)體設(shè)備位于行業(yè)上游,與資本開支密切相關(guān),且占據(jù)資本開支的主要份額,2005-2022年歷史數(shù)據(jù)顯示,半導(dǎo)體設(shè)備市場規(guī)模占據(jù)行業(yè)資本開支的比重大概為56.03%-73.94%,平均而言,設(shè)備資本開支比重達(dá)到63%。全球半導(dǎo)體資本開支及半導(dǎo)體設(shè)備市場規(guī)模(十億元)半導(dǎo)體產(chǎn)業(yè)鏈圖全球半導(dǎo)體資本支出(十億美元)半導(dǎo)體設(shè)備市場規(guī)模(十億美元)半導(dǎo)體設(shè)備市場規(guī)模占據(jù)資本開支的比重2001801601401201008080%73.94%64.58%70.387%0.11%68.36%

68.02%70%60%50%40%30%20%10%0%67.04%62.94%59.23%

58.29%62.59%61.00%60.83%

60.82%59.24%55.77%57.495%6.73%56.03%60402009資料:iFind,ICInsights,SemiconductorIntelligence,拓荊科技招股書,SIA等方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律半導(dǎo)體資本開支具備周期屬性,預(yù)計(jì)2024年進(jìn)入上行:資本開支取決于下游的需求景氣度水平,需求的周期性波動(dòng)向上傳導(dǎo)使得資本開支呈現(xiàn)出更大幅度的周期性變化。2023年全年行業(yè)處于下行周期,WSTS預(yù)測2023年全年半導(dǎo)體銷售額為5150.95億美元,同比下滑-10.28%,SemiconductorIntelligence

則預(yù)測2023年全球半導(dǎo)體資本開支約為1560億美元,同比下滑-14.14%。同時(shí),WSTS、Gartner、

SemiconductorIntelligence等各大機(jī)構(gòu)均預(yù)測2024年全球半導(dǎo)體市場有望回暖,歷史年度數(shù)據(jù)表明半導(dǎo)體資本開支與終端器件需求市場景氣度的波動(dòng)方向一致,因此,我們認(rèn)為2024年全球半導(dǎo)體資本開支將迎來上行周期。半導(dǎo)體資本開支增速和半導(dǎo)體終端器件市場規(guī)模增速半導(dǎo)體設(shè)備市場規(guī)模(十億美元)及同比增速半導(dǎo)體資本支出同比增速半導(dǎo)體銷售額同比增速100%80%60%40%20%0%半導(dǎo)體設(shè)備:銷售額:合計(jì):當(dāng)季值同比增速30252015105250%200%150%100%50%0%-50%-100%-20%-40%010資料:SIA,wind,iFind,ICInsights,SemiconductorIntelligence,方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律從需求端看,半導(dǎo)體銷售額同比降幅持續(xù)收窄,環(huán)比改善明顯:從需求端的月度歷史數(shù)據(jù)來看,半導(dǎo)體銷售額變動(dòng)周期大致為40-41個(gè)月,宏觀環(huán)境和技術(shù)變革會(huì)加速或者延緩周期,總體而言,上行周期區(qū)間長度大于下行周期區(qū)間長度。根據(jù)SIA,本輪周期上行階段始于2020年年初,全球性疫情導(dǎo)致線上辦公需求激增,終端設(shè)備需求旺盛,帶動(dòng)了半導(dǎo)體市場的增長,隨著市場逐漸飽和,2022年下半年,全球半導(dǎo)體市場進(jìn)入下行區(qū)間,從去年8月份到今年9月份,半導(dǎo)體月度銷售額已經(jīng)連續(xù)同比下滑14個(gè)月。同時(shí),邊際變化改善持續(xù):截至9月,半導(dǎo)體銷售額連續(xù)五個(gè)月實(shí)現(xiàn)同比降幅收窄,連續(xù)七個(gè)月實(shí)現(xiàn)環(huán)比提升。我們認(rèn)為,進(jìn)入消費(fèi)電子的傳統(tǒng)旺季,需求端表現(xiàn)有望在四季度得到進(jìn)一步提振。圖:半導(dǎo)體月度銷售額同比增速50%30%10%-10%-30%-50%11資料:SIA,wind,方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律圖:主要半導(dǎo)體制造廠商的庫存周轉(zhuǎn)天數(shù)供給端,庫存周轉(zhuǎn)天數(shù)仍然高企,存貨水平絕對值開始出現(xiàn)FoundriesUMC

SMIC83.1IDM存儲(chǔ)TSMC華虹144.1Intel135.6TI179.0ST106.6Infineon鎂光162.0Samsung

SKHynix改善。我們統(tǒng)計(jì)了2020年Q1-2023年Q3主要半導(dǎo)體制造廠商的庫存周轉(zhuǎn)天數(shù),由于需求下降,基本從2022年Q1以來,庫存水平持續(xù)上漲,庫存周轉(zhuǎn)天數(shù)持續(xù)環(huán)比提升,進(jìn)入Q3,已統(tǒng)計(jì)廠商中僅ST實(shí)現(xiàn)庫存周轉(zhuǎn)天數(shù)環(huán)比改善。從庫存水位來看,Q3末,部分廠商如intel、ST、華虹和SK海力士的庫存水平環(huán)比下降,其余廠商庫存水平環(huán)比Q2有所提升,但是大部分提升幅度小于前值。進(jìn)入Q4,下游需求拉動(dòng)之下,庫存水平有望2023Q3

94.42023Q2

89.42023Q1

82.62022Q4

82.62022Q3

82.52022Q2

83.72022Q1

79.62021Q4

78.52021Q3

73.32021Q2

67.72021Q1

65.32020Q4

64.02020Q3

60.72020Q2

58.32020Q1

57.0162.4148.3136.9125.6115.3104.8100.696.575.669.764.564.762.361.159.060.260.058.558.656.658.357.2141.7147.6140.9127.7112.0126.2118.1125.6108.9124.492.6132.1130.8121.0111.1104.1105.099.5170.5154.7136.1126.5122.9121.4118.2121.4125.8130.5139.1149.6154.6147.2109.6104.897.9135.7127.5121.8118.7118.1114.2111.4113.6115.8100.7109.0118.3133.7122.8153.1147.5151.1120.7107.9109.4108.7104.1107.3111.5126.1128.6130.9128.3106.598.589.993.286.383.080.580.573.871.374.379.676.573.2172.2173.6154.9140.3124.6120.8114.5100.097.397.8101.297.393.690.3101.494.295.387.798.286.891.895.897.087.391.5100.2110.8117.3104.198.9持續(xù)健康化。稼動(dòng)率尚有波動(dòng):以為例,其于22年83.788.896.3101.9101.297.590.786.296.9下半年開始調(diào)整公司稼動(dòng)率,到今年二季度首次出現(xiàn)環(huán)比上行,Q3再次下探,但是明顯好于Q1。結(jié)合其他廠商的稼動(dòng)率情況,我們認(rèn)為行業(yè)尚在筑底,但越來越接近右側(cè)89.881.5101.2主要半導(dǎo)體制造廠商庫存水平公司23Q1216.0632.691.4223Q2234.3334.551.50QoQ23Q3QoQ11.85%5.81%TSMCUMC8.46%5.71%5.69%16.21%0.02%-1.72%6.10%13.41%7.29%1.99%1.34%-4.44%262.0936.561.51幾家半導(dǎo)體制造廠商分季度稼動(dòng)率0.33%120FoundryGF110SMIC華虹145.7350.7113.222.87169.3550.7212.993.05185.0246.6711.472.879.25%100.4100.3

100.498.799.497.197.895.5-7.99%-11.75%-5.75%4.80%100908070605092.1IntelST79.578.377.1IDM68.1聯(lián)電華虹TI3.293.733.91InfineonSamsung3.503.7554419.60

55504.808.13

8.2417182.30

16420.20存儲(chǔ)MicroTechnologySKHynix8.391.81%14948.00-8.97%(注:以十億為數(shù)量單位,貨幣單位以財(cái)報(bào)貨幣為準(zhǔn),SMIC和華虹庫存數(shù)據(jù)單位為億元人民幣)12資料:Bloomberg,wind,方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律價(jià)格端,存儲(chǔ)芯片價(jià)格回暖,存儲(chǔ)廠商有望率先擴(kuò)產(chǎn),引領(lǐng)本輪上行周期存儲(chǔ)廠商的資本開支變動(dòng)基本和芯片價(jià)格(美元)變動(dòng)保持一致存儲(chǔ)芯片產(chǎn)能供給主要取決于價(jià)格。從半導(dǎo)體終端器件市場規(guī)模來看,存儲(chǔ)芯片市場的周期波動(dòng)更加劇烈,這是因?yàn)檫壿嬓酒圃於酁閒abless+Foundry的商業(yè)模式,而存儲(chǔ)芯片則主要采用IDM的商業(yè)模式,存儲(chǔ)芯片市場份額高度集中,相較邏輯芯片而言屬于同質(zhì)化較高的大宗商品,其資本開支建設(shè)主要圍繞價(jià)格周期展開。半導(dǎo)體分產(chǎn)品市場規(guī)模變動(dòng)情況總體邏輯存儲(chǔ)非存儲(chǔ)&模擬60%40%20%0%-20%-40%注:三星、SK

Hynix和MicroTechnology的資本開支的單位分別為十億美元、萬億韓元和十億美元13資料:wind,Bloomberg,方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律價(jià)格端,存儲(chǔ)芯片價(jià)格回暖,存儲(chǔ)廠商有望率先擴(kuò)產(chǎn),引領(lǐng)本輪上行周期存儲(chǔ)芯片價(jià)格回暖,背后是供需關(guān)系逐漸恢復(fù)。2022年下半年以來,受需求放緩、供應(yīng)增加、價(jià)格競爭加劇等因素影響,存儲(chǔ)芯片價(jià)格暴跌,TrendForce數(shù)據(jù)顯示,DRAM的平均價(jià)格在22年Q3下降了31.4%,Q4跌幅擴(kuò)大至34.4%,今年Q1,均價(jià)跌幅收斂至13-18%,Q2、Q3跌幅持續(xù)收斂,分別為10-15%和0-5%,隨著今年以來三星、美光科技、SK海力士、西部數(shù)據(jù)、鎧俠等一眾廠商實(shí)施減產(chǎn)策略,供需關(guān)系逐步恢復(fù),價(jià)格回暖趨勢明顯,TrendForce集邦咨詢最近指出預(yù)計(jì)Q4DRAM合約價(jià)格轉(zhuǎn)為上漲,季度漲幅約3-8%。歷經(jīng)原廠陸續(xù)減產(chǎn)后,已有多家存儲(chǔ)廠商在最近兩個(gè)月的營收出現(xiàn)了環(huán)比增長的跡象,預(yù)示著需求正在緩慢回升。前述庫存分析中也可以看到,存儲(chǔ)廠商的庫存改善情況相較其他IDM和代工廠較為良好,需求拉動(dòng)下,存儲(chǔ)廠商有望率先啟動(dòng)擴(kuò)產(chǎn),成為本輪上行周期的引領(lǐng)者。幾個(gè)臺(tái)灣廠商的單月度營業(yè)收入情況南亞科群聯(lián)電子旺宏電子月度營收2,251,3642,026,4262,147,0932,260,0202,309,0372,458,0142,437,1932,574,8942,724,2872,668,030環(huán)比同比月度營收2,878,1673,274,8733,925,3813,366,9593,201,6843,438,0013,394,2993,990,4035,003,8635,158,905環(huán)比同比月度營收2,211,8062,068,6222,823,4153,008,2672,280,9752,139,6452,181,2882,600,7992,501,0152,166,105環(huán)比同比一月二月三月四月五月六月七月八月九月十月-0.668-0.4689-38.30%-38.47%-42.95%-37.21%-34.94%-36.46%-9.79%4.05%-0.41-9.99%5.95%5.26%2.17%6.45%-0.85%5.65%5.80%-2.07%-68.50%-68.20%-65.80%-62.70%-53.10%-44.60%-24.70%-15.00%-4.10%13.78%19.86%-14.23%-4.91%7.38%-6.47%36.49%6.55%-43.00%-34.00%-22.00%-36.00%-45.00%-39.00%-30.00%-40.00%-0.42-24.18%-6.20%1.95%-1.27%17.56%25.40%3.10%19.23%-3.84%-13.39%22.66%14資料:各公司官網(wǎng),方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律科技制裁加速國產(chǎn)設(shè)備導(dǎo)入,國內(nèi)半導(dǎo)體設(shè)備廠商增長有望跑贏行業(yè)我們認(rèn)為科技制裁基本定型,即主要是對先進(jìn)制程進(jìn)行制裁。自2018年以來,美國先后對我國半導(dǎo)體行業(yè)實(shí)施多次限制,目前來看,主要針對先進(jìn)工藝,比如16/14nm及以下的FinFet/GAA邏輯器件,18nm以下的DRAM器件和128L以上的Flash器件。國際上對于我國半導(dǎo)體產(chǎn)業(yè)的科技制裁政策梳理時(shí)間政策2018.10.29

美國商務(wù)部正式采取行動(dòng)限制美國企業(yè)對福建晉華的任何產(chǎn)品出口2019年荷蘭在美國的壓力之下向中國禁售EUV???宣布實(shí)體名單上的的技術(shù)、軟件設(shè)計(jì)和制造半導(dǎo)體芯技術(shù)有限公司及其

美國分

機(jī)構(gòu)的現(xiàn)有臨時(shí)通用許可證(TGL)授權(quán)期限延長90天。

是將嚴(yán)格限制使用美國2020.5.15?2020.8.172020.9.25美國商業(yè)部宣布,任何使用美國設(shè)備和軟件為美國商務(wù)部要求向生產(chǎn)產(chǎn)品都要獲得美國的許可證。提供設(shè)備和技術(shù)都要申請?jiān)S可2020.12.18

美國商業(yè)部宣布將列入“實(shí)體清單”,美國設(shè)備和關(guān)鍵零部件都要申請?jiān)S可,10納米及以下不給予許可2021.1.152022.8.13美國國防部將中微半導(dǎo)體設(shè)備公司列入“涉軍企業(yè)”名單。經(jīng)過據(jù)理力爭已從名單撤除美國出臺(tái)對中國芯片設(shè)計(jì)行業(yè)(EDA)的限制美國商業(yè)部工業(yè)安全局將31個(gè)中國公司列入U(xiǎn)VL未確定名單,包含幾家集成電路制造和設(shè)備公司。美國商業(yè)部明示,要求這些公司主動(dòng)和商業(yè)部溝通,如果能提出有說服力的終端客戶信息,排除涉及軍方項(xiàng)目,會(huì)將他們從清單上撤除。但是如果不能主動(dòng)溝通,60天以后就會(huì)將他們列到實(shí)體清單2022.10.52022.10.7美國商業(yè)部工業(yè)安全局發(fā)布對中國集成電路先進(jìn)制程的限制法案。美國人和美國公司(Americanpersons)沒得到商業(yè)部許可,不得參與在中國芯片制造人工智能芯片,超算芯片,16/14納米及以下FinFET和GAA邏輯器件,18納米及以下的DRAM器件和128層及以上的Falsh器件,不得提供設(shè)備。2022.12美國商業(yè)部工業(yè)安全局將32個(gè)中國公司列入"實(shí)體清單”(包括長存),美公司不得提供設(shè)備日本發(fā)布了對23種半導(dǎo)體設(shè)備的出口限制2023.5.232023.6.30荷蘭發(fā)布有關(guān)半導(dǎo)體設(shè)備出口的新條例,包括最先進(jìn)的沉積設(shè)備和浸潤光刻系統(tǒng)(涉及2000i及后續(xù)推出的浸潤光刻系統(tǒng))2023.10.17

美BIS進(jìn)一步加強(qiáng)了對先進(jìn)技術(shù)節(jié)點(diǎn)的設(shè)備出口15資料:BIS,日本產(chǎn)業(yè)經(jīng)濟(jì)省、觀察者網(wǎng),中微公司公告,金社律師事務(wù)所官網(wǎng)等,方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律科技制裁加速國產(chǎn)設(shè)備導(dǎo)入,國內(nèi)半導(dǎo)體設(shè)備廠商增長有望跑贏行業(yè)外部擾動(dòng)因素逐步消解,國內(nèi)擴(kuò)產(chǎn)趨勢不改。制裁主要針對先進(jìn)制程,國內(nèi)主要產(chǎn)能是成熟制程,國內(nèi)能不能開始擴(kuò)產(chǎn)的核心在于光刻機(jī)(國內(nèi)暫時(shí)空白),從ASML今年的營業(yè)收入情況來看,Q2-Q3其向中國大陸出口的光刻機(jī)規(guī)模增長迅速,且中國大陸在其營收的份額也迅速提升。另外,從asml出貨量來看,Q2、Q3DUV出貨量增長明顯,綜合考慮我們認(rèn)為國內(nèi)成熟制程晶圓廠擴(kuò)產(chǎn)無虞。ASML來自中國大陸的營收(億歐元)及營收占比情況ASML的光刻機(jī)出貨量(臺(tái))EUVArFiArFKrFi-line824.4246%25201510550%45%40%35%30%25%20%15%10%5%134316441449123834%103871135613.4543924%3092622%7.623563197.78717%5.016.3815%6815%86454.69394.114.144.274.278%7169253210%10%219%62221202024183151317111212121100%721Q121Q221Q321Q422Q122Q222Q322Q423Q123Q223Q321Q1

21Q2

21Q3

21Q4

22Q1

22Q2

22Q3

22Q4

23Q1

23Q2

23Q316資料:asml官網(wǎng),方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律科技制裁加速國產(chǎn)設(shè)備導(dǎo)入,國內(nèi)半導(dǎo)體設(shè)備廠商增長有望跑贏行業(yè)成為必然趨勢,上行周期中設(shè)備廠商有望快速成長。fab廠上游設(shè)計(jì)客戶考慮地緣政治風(fēng)險(xiǎn),將成熟制程部分產(chǎn)能轉(zhuǎn)移至中國大陸,傳導(dǎo)之下,加速了國產(chǎn)設(shè)備/零部件的導(dǎo)入,體現(xiàn)在報(bào)表端,則是設(shè)備廠營收及合同負(fù)債的高速增長。我們選擇北方華創(chuàng)、中微公司、拓荊科技、芯源微、華海清科等主要國產(chǎn)半導(dǎo)體設(shè)備廠商,用其總營業(yè)收入代替國產(chǎn)半導(dǎo)體設(shè)備的市場規(guī)模,可以看到,2019-2022年,國產(chǎn)半導(dǎo)體設(shè)備市場規(guī)???4.8億元增長至306.1億元,復(fù)合增速為53.38%,相比之下,中國大陸半導(dǎo)體設(shè)備市場規(guī)模從134.5億美元增長至282.7億美元,復(fù)合增速28.10%;2022年國產(chǎn)半導(dǎo)體設(shè)備的市場增長明顯高于整體市場規(guī)模,國產(chǎn)半導(dǎo)體設(shè)備正在加速導(dǎo)入。訂單維度上,國產(chǎn)半導(dǎo)體設(shè)備廠商的合同負(fù)債持續(xù)高增,且合同負(fù)債于營收的比重在持續(xù)上升,說明下游客戶導(dǎo)入國產(chǎn)設(shè)備的意愿愈發(fā)強(qiáng)烈。綜上,我們認(rèn)為本輪上行周期中,率提升,這段時(shí)間將成為國產(chǎn)設(shè)備廠商快速提升份額的關(guān)鍵窗口期。斜國內(nèi)主要半導(dǎo)體設(shè)備廠商的營業(yè)收入增速超過國內(nèi)半導(dǎo)體設(shè)備市場規(guī)模增速國內(nèi)主要半導(dǎo)體設(shè)備廠商的合同負(fù)債高增合同負(fù)債合計(jì)(百萬元)合同負(fù)債增長率合同負(fù)債/營業(yè)收入中國大陸半導(dǎo)體設(shè)備市場規(guī)模(十億美元)中國大陸半導(dǎo)體設(shè)備市場規(guī)模增速國產(chǎn)半導(dǎo)體設(shè)備市場(十億元人民幣)18,00016,00014,00012,00010,0008,0006,0004,0002,0000120%353025201510570%60%50%40%30%20%10%0%國產(chǎn)半導(dǎo)體設(shè)備市場增速

30.6029.6328.27

58.97%100%80%60%40%20%0%58.7290%42.95%39.26%19.2518.7354.08%45.70%46.59%13.4512.1235.97%27.53%8.48-4.59%0-10%20192020202120222023前三季度201920202021202217資料:wind,方正證券研究所投資方向一:半導(dǎo)體行業(yè)新一輪上行周期即將開啟,把握主旋律

建議關(guān)注:?

中微公司:主營刻蝕設(shè)備(包括CCP和ICP),MOCVD產(chǎn)品。公司系刻蝕設(shè)備龍頭,積極攻克刻蝕工藝難題,橫向布局薄膜設(shè)備,除去MOCVD,還開發(fā)了用于鎢沉積的LPCVD設(shè)備,正在客戶端驗(yàn)證;控股睿勵(lì)布局量測,有望形成協(xié)同效應(yīng)。?

拓荊科技:主營薄膜設(shè)備,系PECVD國產(chǎn)龍頭,積極拓展產(chǎn)品線,SACVD、HDPCVD和ALD產(chǎn)品均已實(shí)現(xiàn)銷售,公司還開發(fā)了永久鍵合機(jī),助力3D先進(jìn)封裝。?

北方華創(chuàng):平臺(tái)化布局,主營設(shè)備包括薄膜沉積、刻蝕、熱處理、清洗等設(shè)備。?

華海清科:CMP系拳頭產(chǎn)品,28nm及以上產(chǎn)線基本完成

,減薄機(jī)用于3DIC減薄,年內(nèi)有望小批量出貨,清洗、金屬量測等產(chǎn)品均取得進(jìn)展。除此之外,公司提供耗材和維保服務(wù),具備一定的客戶粘性;晶圓再生服務(wù)產(chǎn)能也已達(dá)到一定產(chǎn)能。?

芯源微:主營涂膠顯影機(jī)和濕法設(shè)備,前道涂膠顯影機(jī)處于快速放量期;清洗機(jī)方面,物理清洗機(jī)基本成為baseline機(jī)臺(tái),新開發(fā)的化學(xué)清洗機(jī)在廠內(nèi)驗(yàn)證,有望打開清洗增長空間;開發(fā)臨時(shí)鍵合/解鍵合機(jī)用于先進(jìn)封裝,目前在客戶端驗(yàn)證。?

精測電子:提供前道量測設(shè)備和后道測試設(shè)備,膜厚系列產(chǎn)品、OCD設(shè)備、電子束設(shè)備已取得國內(nèi)多家客戶的批量訂單;半導(dǎo)體硅片應(yīng)力測量設(shè)備也取得客戶重復(fù)訂單;明場光學(xué)缺陷檢測設(shè)備已完成首臺(tái)套交付,且已取得更先進(jìn)制程訂單;有圖形暗場缺陷檢測設(shè)備等其余儲(chǔ)備的產(chǎn)品目前正處于研發(fā)、認(rèn)證以及拓展的過程中。?

盛美上海:主營濕法設(shè)備,包括清洗、電鍍、立式爐管等設(shè)備,清洗設(shè)備掌握核心技術(shù),在國內(nèi)占據(jù)優(yōu)勢地位。除LAM外,盛美半導(dǎo)體是全球范圍內(nèi)少數(shù)幾家掌握芯片銅互連電鍍銅技術(shù)核心專利并實(shí)現(xiàn)產(chǎn)業(yè)化的公司之一。?

富創(chuàng)精密:主營半導(dǎo)體/泛半導(dǎo)體設(shè)備的工藝零部件、結(jié)構(gòu)零部件、模組產(chǎn)品和氣體管路,積極布局產(chǎn)能建設(shè),有望受益于零部件的。?

新萊應(yīng)材:主營潔凈應(yīng)用材料和高純及超高純應(yīng)用材料,產(chǎn)品主要應(yīng)用于食品安全、生物醫(yī)藥和泛半導(dǎo)體等業(yè)務(wù)領(lǐng)域。?

英杰電氣:主營以功率控制電源、特種電源為代表的工業(yè)電源和充電樁。用于半導(dǎo)體設(shè)備的射頻電源具備初步進(jìn)口替代的能力。18資料:各公司公告,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求集成電路的封裝是用特定的材料、工藝技術(shù)對芯片進(jìn)行安放、固定、密封,保護(hù)芯片的性能,并將芯片上的接點(diǎn)連接到封裝外殼上,實(shí)現(xiàn)芯片內(nèi)部功能的外延申。集成電路制程工藝接近物理極限+成本大幅增長,先進(jìn)封裝應(yīng)運(yùn)而生。在集成電路制程方面,“摩爾定律”認(rèn)為集成電路上可容納的元器件的數(shù)目,約每隔18-24個(gè)月便會(huì)增加一倍,性能也將提升一倍。長期以來,“摩爾定律”一直引領(lǐng)著集成電路制程技術(shù)的發(fā)展與進(jìn)步,自1987年的1um制程至2015年的14nm制程,集成電路制程迭代一直符合“摩爾定律”的規(guī)律。但2015年以后,集成電路制程的發(fā)展進(jìn)入了瓶頸,7nm、5nm、3nm制程的量產(chǎn)進(jìn)度均落后于預(yù)期。隨著臺(tái)積電宣布2nm制程工藝實(shí)現(xiàn)突破,集成電路制程工藝已接近物理尺寸的極限,集成電路行業(yè)進(jìn)入了“后摩爾時(shí)代”。除了技術(shù)上的制約,工藝制程進(jìn)步還受到成本的制約,根據(jù)NBER,制程節(jié)點(diǎn)來到20nm,單位晶體管的成本上升了,這是摩爾定律在經(jīng)濟(jì)學(xué)意義上的失效。由于集成電路制程工藝短期內(nèi)難以突破,通過先進(jìn)封裝技術(shù)提升芯片整體性能成為了行業(yè)趨勢。不同工藝節(jié)點(diǎn)的晶體管成本(美元)costper1000gates4.012.821.941.551.4220nm1.2828nm1.3110nm90nm65nm45/40nm16/14nm19資料:NBER-MEASURINGMOORE’SLAW:EVIDENCEFROMPRICE,COST,ANDQUALITYINDEXES,yole,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求從技術(shù)層面看,先進(jìn)封裝本質(zhì)是通過增加I/O密度和更加緊湊的I/O間距實(shí)現(xiàn)封裝:傳統(tǒng)封裝的典型代表為引線鍵合(wire-bonding),麥肯錫在其研究報(bào)告中將先進(jìn)封裝技術(shù)分為倒裝芯片(FlipChip)、晶圓級(jí)封裝(WaferLevelPackaging)和2.5D/3D封裝,這幾類技術(shù)在半導(dǎo)體封裝技術(shù)發(fā)展過程中先后出現(xiàn)。1950年Wire-bonding(引線鍵合):通過焊球和引線連接裸die和PCB板,屬于傳統(tǒng)封裝。1995年Flip

Chip(倒裝芯片):將芯片正面朝下,通過凸塊(bump)實(shí)現(xiàn)芯片與基板的連接??梢詫?shí)現(xiàn)更小的封裝面積和更高的信號(hào)傳播速度(凸塊可以實(shí)現(xiàn)更高密度的O/I),主要用于CPU、手機(jī)、射頻等系統(tǒng)級(jí)封裝2000年WLP(晶圓級(jí)封裝):與傳統(tǒng)封裝不同點(diǎn)在于:傳統(tǒng)封裝先將晶圓切成芯粒再封裝,晶圓級(jí)封裝則是先封裝再切割,大大提高封裝效率。與倒裝芯片不同點(diǎn)在于:用再布線(RDL)代替基板實(shí)現(xiàn)與PCB板的電氣連接,減小了封裝面積,更多的I/O數(shù)量,更好的散熱性能,分為扇入型和扇出型,前者主要用于低端手機(jī)芯片等,后者主要用于汽車、射頻芯片、高端移動(dòng)終端和HPC等2010年2.5D封裝:堆疊式晶圓級(jí)封裝,可以將不同的邏輯和存儲(chǔ)芯片(可堆疊)sidebyside排列,二者之間通過中介層通信,現(xiàn)有的方案中,采用硅interposer需要TSV實(shí)現(xiàn)垂直電氣連接,代表方案是臺(tái)積電的CoWoS-S;硅橋可以比interposer更薄,實(shí)現(xiàn)更高級(jí)的系統(tǒng)集成,可應(yīng)用于HPC,代表方案為英特爾的EMIB和臺(tái)積電的CoWoS-L;RDL用作中介層的代表方案是臺(tái)積電的CoWoS-R2015年3D封裝:多個(gè)芯片垂直堆疊,主要有兩種類型:有微凸塊的TSV和無微凸塊的混合鍵合(介電質(zhì)和金屬鍵合),常用于CMOS傳感器件制造,目前還用于存儲(chǔ)領(lǐng)域。20資料:Mckinsey&Company,yole,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求從技術(shù)層面看,先進(jìn)封裝本質(zhì)是通過增加I/O密度和更加緊湊的I/O間距實(shí)現(xiàn)封裝。根據(jù)yole發(fā)布的技術(shù)路線圖,先進(jìn)封裝越往后發(fā)展,I/O密度越高,且I/O之間的間距越來越小。堆疊裸die的凸塊之間的間距逐步從90??下降至20

?

10??,芯片到基板的凸塊之間的間距逐步從超過150??下降至幾十??,基板上焊球的間距也從400??下降至300??。先進(jìn)封裝技術(shù)路線圖:實(shí)現(xiàn)了更高的I/O密度和I/O間距技術(shù)路線圖:先進(jìn)工藝節(jié)點(diǎn)和先進(jìn)封裝一起向前推進(jìn)21資料:Mckinsey&Company,yole,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求Chiplet技術(shù)以先進(jìn)封裝技術(shù)為基礎(chǔ)。Chiplet技術(shù)是一種利用先進(jìn)封裝方法將不同工藝/功能的芯片進(jìn)行異質(zhì)集成的技術(shù)。這種技術(shù)設(shè)計(jì)的核心思想是先分后合,即先將單芯片中的功能塊拆分出來,再通過先進(jìn)封裝模塊將其集成為大的單芯片。“分”可解決怎么把大規(guī)模芯片拆分好的問題,關(guān)鍵是架構(gòu)設(shè)計(jì);“合”是指將功能比較重要的部分合成在一顆芯片上,關(guān)鍵在于先進(jìn)封裝。Chiplet技術(shù)的優(yōu)勢和局限:1)芯片可以分解為特定模塊,單個(gè)芯片可以選擇合適的工藝,提高良率的同時(shí)降低成本;2)Chiplet小芯片可以被視為固定模塊,可以復(fù)用于不同產(chǎn)品,靈活性高,可以加快芯片的迭代速度和可擴(kuò)展性;3)chiplet可以集成多核,能夠滿足高效能運(yùn)算處理器的需求;4)相較于更先進(jìn)的半導(dǎo)體工藝制程,Chiplet的綜合成本更低。同時(shí),功耗和面積決定了其主要用于服務(wù)器。如果不受限,先進(jìn)工藝才是首選。AMD在7nm工藝的第二代霄龍(EPYC)處理器上使用了chiplet技術(shù),之后推出的第三代銳龍(Ryzen)處理器上為5nm工藝,且復(fù)用之前的chiplet工藝,這說明如果沒有限制,更先進(jìn)的工藝才是算力芯片的首選。國內(nèi)外巨頭發(fā)展chiplet技術(shù)國內(nèi)外發(fā)展chiplet技術(shù)的本質(zhì)動(dòng)機(jī)并不相同。AMD選擇chiplet技術(shù)的主因是晶圓工藝節(jié)點(diǎn)的進(jìn)展速度減緩,但是背后其實(shí)是由成本驅(qū)動(dòng)的,國內(nèi)主AMD在第三代銳龍(Ryzen)處理器上復(fù)用了第二代霄龍(EPYC)處理器的IO

Chiplet要是海思在主導(dǎo)chiplet技術(shù),這是由于在科技制裁下,難以取得先進(jìn)工藝的芯片,單位面積的晶體管數(shù)目無法增長,不得不退而求其次,依靠chiplet技術(shù)提升單個(gè)封裝內(nèi)部的晶體管數(shù)量。除此之外,國內(nèi)自給先進(jìn)制程產(chǎn)能有限,使用chiplet技術(shù)也可以緩解部分產(chǎn)能壓力。發(fā)展先進(jìn)封裝具有重要意義。人工智能時(shí)代,算力至關(guān)重要,chiplet技術(shù)逐步成為高端算力芯片的發(fā)展方向,而先進(jìn)封裝又是chiplet實(shí)現(xiàn)的關(guān)鍵,因此,發(fā)展先進(jìn)封裝對于國內(nèi)至關(guān)重要。22資料:半導(dǎo)體行業(yè)觀察,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求相比傳統(tǒng)封裝,先進(jìn)封裝更具高成長潛力。從市場規(guī)模來看,2021年,全球封裝市場規(guī)模為884億美元,其中先進(jìn)封裝市場規(guī)模占比約44%,2022年,全球先進(jìn)封裝市場規(guī)模約443億美元,同比增長18.13%,從長期增長來看,yole預(yù)計(jì)2028年全球先進(jìn)封裝市場規(guī)模為786億美元,六年復(fù)合增速為10.6%,對比之下,傳統(tǒng)封裝市場規(guī)模的復(fù)合增速僅為3.2%。從產(chǎn)能角度看,2022年全球封裝產(chǎn)能中,傳統(tǒng)封裝占比73%,先進(jìn)封裝占比僅27%,yole預(yù)計(jì)2028年全球先進(jìn)封裝產(chǎn)能占比有望達(dá)到32%。消費(fèi)電子和通信設(shè)施貢獻(xiàn)先進(jìn)封裝的主要市場份額,預(yù)計(jì)HPC和網(wǎng)絡(luò)通信的驅(qū)動(dòng)力更強(qiáng)。半導(dǎo)體行業(yè)處于技術(shù)創(chuàng)新的前沿,人工智能、5G通信、高性能計(jì)算(HPC)等對電子設(shè)備提出了更高的性能要求,進(jìn)而推動(dòng)了先進(jìn)封裝的發(fā)展,比如,AI和機(jī)器學(xué)習(xí)需要低延遲的高性能計(jì)算,2.5D/3D封裝、HBM等提供更高帶寬的內(nèi)存,5G通信中,晶圓級(jí)封裝可以實(shí)現(xiàn)更小的封裝尺寸、更低的功耗和更高的性能,以服務(wù)器和超算為代表的HPC需要快速可靠的數(shù)據(jù)處理,倒裝芯片可以提供更高的I/O密度以提升數(shù)據(jù)傳輸速度。根據(jù)Yole,2022年先進(jìn)封裝下游市場中,消費(fèi)電子、通信設(shè)施、汽車和其他分別占比70%、20%、6%和4%,其中通信設(shè)施領(lǐng)域的市場規(guī)模增長最快,六年復(fù)合增速達(dá)到17%,預(yù)計(jì)到2028年可占比27%。從細(xì)分領(lǐng)域來說,消費(fèi)電子,HPC和網(wǎng)絡(luò)通信貢獻(xiàn)增量較大,預(yù)計(jì)分別可以實(shí)現(xiàn)2020-2026年的6年復(fù)合增速33%,25%和33%。2022-2028年全球先進(jìn)封裝市場規(guī)模增長預(yù)測先進(jìn)封裝分應(yīng)用場景的市場規(guī)模(十億美元)IndustrialAutomotiveMobileNetworkConsumerHPC2.882.221.951.180.760.40.550.562020202623資料:

Mckinsey&Company,yole,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求傳統(tǒng)前道制造廠布局先進(jìn)封裝,芯片封測產(chǎn)業(yè)鏈價(jià)值重塑。先進(jìn)封裝的本質(zhì)是緩解芯片制造前道流程的瓶頸(制程微縮變難),轉(zhuǎn)而借助后道流程上的創(chuàng)新來延續(xù)摩爾定律,由于先進(jìn)封裝要求更高的技術(shù)水平,原本負(fù)責(zé)前道的IDM/Foundry廠紛紛入局先進(jìn)封裝,比如臺(tái)積電、英特爾、三星等。根據(jù)yole統(tǒng)計(jì),這些廠商在2020-2022年的先進(jìn)封裝資本開支位于前列。前道制造廠商和后道封測廠商競合發(fā)展,國內(nèi)封測廠具備先進(jìn)封裝技術(shù)能力。我們可以看到,目前前道制造廠商主要掌握2.5D/3D封裝,主要是因?yàn)樯a(chǎn)工藝與前道相近,比如在硅轉(zhuǎn)接板(Si

TSV

Interposer)封裝、3D微凸塊micro-bumps,或者晶圓的Wafer

to

Wafer高密度連接上前道廠商是有優(yōu)勢的,封測廠優(yōu)勢在于異質(zhì)異構(gòu)的集成。目前傳統(tǒng)封測廠參與先進(jìn)封裝價(jià)值鏈的主要方式是提供中道的bumping和RDL的服務(wù)。國內(nèi)的封測廠如通富微電、長電科技、盛合晶微等均掌握了晶圓級(jí)封裝,并可以提供bumping和RDL等中道服務(wù)。傳統(tǒng)前道制造商和傳統(tǒng)封測廠均布局先進(jìn)封裝傳統(tǒng)前道制造商在先進(jìn)封裝領(lǐng)域的資本開支(百萬美元)居于前列公司先進(jìn)封裝技術(shù)InFo先進(jìn)封裝類型2.5D封裝2.5D封裝3D封裝應(yīng)用案例舉例蘋果M1Ultra英偉達(dá)A100、AMDMI300/2023

2022

2021邏輯臺(tái)積電CoWosSoICEMIBFoverosI-CubeX-CubeHBMPTI2.5D封裝3D封裝2.5D封裝3D封裝3D封裝Agilex系列FPGAMeteorLakeTianshuiHuatian邏輯邏輯英特爾三星JCETTFME存儲(chǔ)存儲(chǔ)三星海力士安靠HBM3Icebolt(12層DRAM堆疊)HBM3(12層DRAM堆疊)HBM3D封裝AmkorASE引線鍵合、BGA、晶圓級(jí)封裝、存儲(chǔ)器封裝、倒裝、PoP、2.5/3D封裝、SiP等基于RDL的FOPoP、FOCoS、FOCoS-Bridge和FOSiP,基于TSV的2.5/3D封裝布局2.5D/3D封裝,現(xiàn)已具備7nm、Chiplet先進(jìn)封ASE通富微電

FCBGA、FCCSP、FO、SiP、WLP等裝技術(shù)規(guī)模量產(chǎn)能力SiP、WL-CSP、FC、eWLB、PiP、

XDFOI?

Chiplet高密度多維異構(gòu)集成系列工藝已SamsungTSMC傳統(tǒng)封測廠

長電科技PoP及XDFOI?系列等中段Bumping和硅片級(jí)先進(jìn)封裝代工按計(jì)劃進(jìn)入穩(wěn)定量產(chǎn)階段盛合晶微甬矽電子3DSiPSmartPoserIntelFC、SiP等,掌握微凸塊技術(shù)和RDL技術(shù),為后續(xù)做fanout奠定基礎(chǔ)24資料:yole,各公司官網(wǎng),各公司公告,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求傳統(tǒng)引線鍵合封裝VS.倒裝芯片封裝:工藝流程對比:傳統(tǒng)引線框架封裝的流程大致為:背面減薄-晶圓切割-芯片貼裝-引線鍵合-塑封-切筋-電鍍-成型;倒裝芯片封裝的不同電在于芯片貼裝環(huán)節(jié)需要將芯片翻轉(zhuǎn)至正面朝下,互連工藝中使用凸塊(bump)實(shí)現(xiàn)電氣連接,bumping工藝不需要用長的導(dǎo)線,即可使半導(dǎo)體芯片連接于基板上,具有信號(hào)傳輸距離短、粘附力強(qiáng)的特性,最大的優(yōu)點(diǎn)是能減少封裝尺寸,廣泛用于智能手機(jī)等小型設(shè)備。Bumping工藝:倒裝芯片的bump是在背面減薄之前通過晶圓級(jí)工藝完成的,首先使用PVD濺射形成一層金屬薄膜(UBM,可作為電子載流層和鍍層和金屬之間的擴(kuò)散阻擋層),圖形化之后實(shí)施電鍍工藝,電鍍完成后,光刻膠隨即被去除,并采用金屬刻蝕工藝去除濺射而成的凸點(diǎn)下金屬層(UBM),隨后通過晶圓級(jí)回流焊設(shè)備將這些凸點(diǎn)制成球形。傳統(tǒng)引線鍵合封裝和倒裝芯片封裝流程圖倒裝芯片的bump制作工藝流程圖背面減薄劃片貼片鍵合塑封25資料:SKHynix官網(wǎng),方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求傳統(tǒng)封裝VS.晶圓級(jí)封裝:和傳統(tǒng)封裝最大的不同在于在封裝過程保持晶圓完整,批量封裝之后再進(jìn)行切割,批量封裝可以實(shí)現(xiàn)更高的封裝效率。分為扇入型晶圓級(jí)芯片封裝(Fan-InWLCSP)和扇出型晶圓級(jí)芯片封裝(Fan-OutWLCSP)。從廣義上講,晶圓級(jí)封裝還包括在晶圓上完成部分工藝的封裝,例如,使用RDL、FC和TSV技術(shù)的封裝。扇入型晶圓級(jí)封裝

VS扇出型晶圓級(jí)封裝:晶圓級(jí)封裝一開始主要是fan-in形態(tài),所有的bump都長在die上,I/O密度增加受限,因此衍生出了扇出型晶圓級(jí)封裝,且近年來應(yīng)用越來越廣泛。Fan-outWLP本質(zhì)上是通過對晶圓進(jìn)行重構(gòu)來實(shí)現(xiàn)更高的I/O密度,其工藝流程大概為:首先在載片上貼附一層薄膜,將從來料晶圓上切割下來的優(yōu)質(zhì)芯片按照一定的間距貼在薄膜上,然后進(jìn)行塑封完成晶圓重構(gòu),塑封結(jié)束后,將載片和薄膜移除。隨后在重構(gòu)晶圓上進(jìn)行RDL、bumping、TSV等工藝,最后,將晶圓切割形成多個(gè)獨(dú)立封裝體。扇入型晶圓級(jí)封裝(左)和扇出型晶圓級(jí)封裝(右)扇入型晶圓級(jí)封裝的工藝流程扇出型晶圓級(jí)封裝的工藝流程26資料:SKHynix官網(wǎng),方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求RDL是晶圓級(jí)封裝的核心技術(shù),重構(gòu)焊盤增加I/O密度。芯片的I/O觸點(diǎn)通常分布在邊沿或者四周,直接進(jìn)行芯片倒裝會(huì)因缺少引線或引線過于密集而導(dǎo)致連接受限,RDL(RedistributionLayer)通過晶圓級(jí)金屬布線制程和凸塊制程改變其接點(diǎn)位置,將這些觸點(diǎn)重新布局到占位更為寬松的區(qū)域,并形成面陣列排布,以此減少后續(xù)的封裝或表面貼裝的難度。RDL的優(yōu)勢主要有三點(diǎn):1)芯片設(shè)計(jì)者可以通過對RDL的設(shè)計(jì)代替一部分芯片內(nèi)部線路的設(shè)計(jì),從而降低設(shè)計(jì)成本;2)采用RDL能夠支持更多的引腳數(shù)量;3)采用RDL可以使I/O觸點(diǎn)間距更靈活、凸點(diǎn)面積更大,從而使基板與元件之間的應(yīng)力更小、元件可靠性更高。RDL的工藝流程:RDL的制備流程涉及薄膜沉積、光刻、刻蝕、電鍍等工序,和前道制程相似,目前一些封裝廠也掌握了RDL技術(shù),使其與前道晶圓廠相比可以在先進(jìn)封裝領(lǐng)域保持一定的競爭力。RDL提供更?。?lt;

50??)和精細(xì)的L/S(<

10??)互聯(lián)走線層RDL制備工藝流程扇入型晶圓級(jí)封裝的工藝流程27資料:SKHynix官網(wǎng),電子工程世界,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求2.5D/3D封裝:interposer、TSV技術(shù)是關(guān)鍵,前者負(fù)責(zé)橫向電氣互聯(lián),后者負(fù)責(zé)垂直貫通中介層(interposer)主要有三種形式:中介層是一種由硅和有機(jī)材料制成的硅基板,是先進(jìn)封裝中多芯片模塊傳遞電信號(hào)的管道,可以實(shí)現(xiàn)芯片間的互連,也可以實(shí)現(xiàn)與封裝基板的互連,充當(dāng)多顆裸片和電路板之間的橋梁。目前中介層主要有三種形式,以臺(tái)積電的CoWoS封裝為例,

CoWoS-S采用硅中介層,

CoWoS-R采用了RDL中介層,

CoWoS-L則綜合前兩者,采用了RDL+局部硅中介層。工藝流程:Siinterposer的制造流程包括了TSV、RDL、Bump工藝,由于涉及減薄工藝,需要使用臨時(shí)鍵合載板來提供機(jī)械支撐,完成減薄工藝和背面的RDL和Bumping之后,再進(jìn)行解鍵合。RDLInterposer的制造流程:首先在載板上進(jìn)行布線和芯片的鍵合,塑封之后去除載板,然后從背面進(jìn)行錫焊球的生長,再將其與基板相連,完成RDLinterposer的制造。Si

Interposer(上)&RDLInterposer(下)Si

Interposer的制造流程RDLInterposer的制造流程28資料:SKHynix官網(wǎng),電子工程專輯,IEEE,臺(tái)積電,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求2.5D/3D封裝:interposer、TSV技術(shù)是關(guān)鍵,前者負(fù)責(zé)橫向電氣互聯(lián),后者負(fù)責(zé)垂直貫通TSV(Through-silliconvia)技術(shù)。通過在硅芯片內(nèi)部鉆孔(通孔)形成通過電極,然后將多個(gè)芯片垂直3D堆疊;與引線連接方法相比,該方法封裝面積更小,并且在相同厚度下可以堆疊更多的芯片。此外,由于該方法可以在最短的距離內(nèi)連接多個(gè)I/O,因此可以達(dá)到實(shí)現(xiàn)高帶寬和降低功耗兩全其美的效果。TSV制造工藝:按照通孔在工藝流程中的位置,TSV制造工藝可以分為三類:1)Via-first:在前段制程之前形成通孔,在有源器件的制造和mems、CIS的制造中并不多見;2)Via-middle:在前段制程和后段制程中間制作TSV,優(yōu)點(diǎn)是可以實(shí)現(xiàn)更小的tsv間距,但是它必須適合產(chǎn)品器件性能要求這樣才不會(huì)干擾器件,并且也不會(huì)干擾相鄰的布線層;3)Via-last:在前后段制程全部完成之后進(jìn)行制作,背面后通孔工藝被廣泛用于圖像傳感器和MEMS器件。下圖所示的是典型的Via-Middle的TSV工藝流程,需要進(jìn)行刻蝕、光刻、沉積、CMP等工藝,與前道制程類似,另外由于涉及減薄工藝,需要借助臨時(shí)鍵合/解鍵合完成機(jī)械支撐以防止晶圓翹曲。按照TSV在制造流程中的位置可以將TSV工藝分為三類TSV先進(jìn)封裝工藝流程29資料:SKHynix官網(wǎng),Interconnect

Planning

forPhysical

Designof3D

Integrated

Circuits,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求3D封裝中,TSV工藝完成之后需要進(jìn)行鍵合,方案包括凸塊和混合鍵合HBM目前采用的是微凸塊鍵合:HBM中其最底層的芯片稱為基礎(chǔ)芯片,上方的芯片稱為核心芯片,首先通過倒裝工藝在基礎(chǔ)晶圓和核心晶圓的正面制作凸塊,對于前者是為了與中介層相連,對于后者是為了有助于晶圓正面繼續(xù)堆疊芯片,正面形成凸塊之后減薄晶圓,同時(shí)在晶圓背面形成凸塊,減薄需要對晶圓進(jìn)行臨時(shí)鍵合,凸塊制作完畢后解鍵合。最后,按照傳統(tǒng)封裝工藝對晶圓進(jìn)行切割,將核心芯片置于基礎(chǔ)晶圓(基礎(chǔ)晶圓始終貼附于晶圓載片上)之上,就完成堆疊,再對基礎(chǔ)晶圓進(jìn)行模塑,而后進(jìn)行晶圓載片解鍵合。至此,基礎(chǔ)晶圓就變成了堆疊有核心晶圓的模制晶圓。隨后對晶圓進(jìn)行研磨,使其厚度達(dá)到制作2.5D封裝體所需標(biāo)準(zhǔn),然后再將其切割成獨(dú)立的芯片單元,HBM成品包裝后將運(yùn)送至制作2.5D封裝體的客戶手中?;旌湘I合相比微凸塊鍵合優(yōu)勢明顯:同時(shí)鍵合金屬和無機(jī)絕緣層的方法,屬于永久鍵合。與使用微凸塊的方法相比,混合鍵合方法可以大幅縮小電極尺寸,從而增加單位面積上的I/O數(shù)量,進(jìn)而大幅降低功耗。與此同時(shí),混合鍵合方法可以顯著縮小芯片之間的間隙,由此實(shí)現(xiàn)大容量封裝。此外,它還可以改善芯片散熱性能,有效地解決因耗電量增加而引起的散熱問題。混合鍵合最早用于CIS和MEMS傳感器,目前還可用于NAND制造領(lǐng)域:混合鍵合在nand領(lǐng)域的典型應(yīng)用是YTMC的X-Tacking,該項(xiàng)技術(shù)通過不同的工藝,先后制作Memory晶圓和CMOS晶圓,在后道制程中構(gòu)建兩者的觸點(diǎn)。通過混合鍵合,這些觸點(diǎn)被鏈接導(dǎo)通,Memory和CMOS就在垂直方向?qū)崿F(xiàn)了互聯(lián)。混合鍵合在工藝上更加依賴前道制程工藝。混合鍵SK海力士HBM先進(jìn)封裝示意圖微凸塊鍵合(左)和混合鍵合(右)的示意圖合方案工序復(fù)雜,工作必須在標(biāo)準(zhǔn)接近前端晶圓廠級(jí)別的超潔凈室、自動(dòng)化工廠和工藝專業(yè)知識(shí)要求的環(huán)境中進(jìn)行。這使得混合鍵合更加依賴前道制造工藝,包括電鍍、CMP、等離子體激活、對準(zhǔn)、鍵合、分割和退火,以及用于發(fā)現(xiàn)亞微米顆粒和缺陷的檢測工具。雖然這些前道工藝已經(jīng)成熟,但仍需要完善工藝以滿足混合鍵合的需求。30資料:SKHynix官網(wǎng),方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求前述總結(jié)了各類先進(jìn)封裝技術(shù),我們認(rèn)為相比傳統(tǒng)封裝,先進(jìn)封裝對設(shè)備的需求主要體現(xiàn)在三方面:1)對傳統(tǒng)封裝設(shè)備的升級(jí)需求:先進(jìn)封裝由傳統(tǒng)封裝衍生而來,但精度等指標(biāo)要求提高,對原本用于傳統(tǒng)封裝的設(shè)備提出技術(shù)升級(jí)的需求,如貼片機(jī)需要有更高的對準(zhǔn)精度,塑封機(jī)需要使用新的工藝技術(shù)確保封裝性能等;2)伴隨出現(xiàn)的新設(shè)備需求:如晶圓級(jí)封裝過程中需要用到臨時(shí)鍵合/解鍵合機(jī),3D封裝中混合鍵合需要用到永久鍵合機(jī):3)對前道設(shè)備的增量需求:TSV、RDL、Intreposer等工藝和前道工藝相似,這些工藝對于前道設(shè)備來說屬于增量需求,且國內(nèi)已經(jīng)相對較成熟。先進(jìn)封裝對設(shè)備的需求及設(shè)備競爭情況環(huán)節(jié)先進(jìn)封裝的難點(diǎn)主要廠商國內(nèi)進(jìn)展減薄降低芯片的厚度,但是容易使得晶圓斷裂,技術(shù)指標(biāo)包括晶圓厚度精度、片內(nèi)厚度合片間減薄劃片厚度DISCO華海清科、中電科等光力科技已經(jīng)基本具備競爭能力先進(jìn)封裝對劃片的精度合速度要求更高DISCO、東京精密先進(jìn)封裝產(chǎn)線對貼片機(jī)的精度、速度、良品率、穩(wěn)定的力控制、溫度場及變形的控制的要求都Capon(華封科技)已經(jīng)具備較強(qiáng)貼片塑封非常高。BESI、ASMPT和Capcon的競爭能力塑封工藝包括注塑成形和壓塑成形,傳統(tǒng)的注塑成形不適合長距離大面積的填充,因此先進(jìn)封裝主要使用壓塑成形工藝文一科技和耐科裝備正在積極推出晶圓級(jí)封裝的塑封設(shè)備TOWA、YAMADA為防止減薄過程中發(fā)生晶圓斷裂,需要使用臨芯源微推出了臨時(shí)鍵合/解鍵合臨時(shí)鍵合/解鍵合時(shí)鍵合提供機(jī)械支撐永久鍵合

用于混合鍵合SUSS、EVG、TEL、TAZMO等EVG、SUSS機(jī),正在客戶處驗(yàn)證拓荊科技推出永久鍵合機(jī)31資料:電子工程專輯,未來半導(dǎo)體微信公眾號(hào),各公司官網(wǎng),各公司公告,方正證券研究所投資方向二:先進(jìn)封裝延續(xù)摩爾定律,建議關(guān)注國內(nèi)先進(jìn)封裝設(shè)備增量需求

建議關(guān)注:?

文一科技:公司主營半導(dǎo)體封裝設(shè)備、化學(xué)建材擠出模具及配套設(shè)備和精密零部件制造等。在半導(dǎo)體領(lǐng)域,公司產(chǎn)品包括封裝模具、自動(dòng)切筋成型系統(tǒng)、分選機(jī)、塑封壓機(jī)、自動(dòng)封裝系統(tǒng)、芯片封裝機(jī)器人集成系統(tǒng)、半導(dǎo)體精密件等。2022年公司半導(dǎo)體業(yè)務(wù)營收占比大概69.89%。2023年前三季度,受到行業(yè)周期影響,公司實(shí)現(xiàn)營業(yè)收入2.58億元,yoy-26.47%,實(shí)現(xiàn)歸母凈利潤-0.89億元,同比-387.65%。子公司研究扇出型晶圓級(jí)液體塑封設(shè)備,可用于高性能的CPU/GPU/AI。低延遲低功耗的5G芯片等先進(jìn)塑封工藝,9月回復(fù)投資者稱第一臺(tái)手動(dòng)樣機(jī)已經(jīng)完成研發(fā)。?

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論