簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀設(shè)計(jì)報(bào)告_第1頁(yè)
簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀設(shè)計(jì)報(bào)告_第2頁(yè)
簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀設(shè)計(jì)報(bào)告_第3頁(yè)
簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀設(shè)計(jì)報(bào)告_第4頁(yè)
簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀設(shè)計(jì)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩22頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀設(shè)計(jì)報(bào)告(e題)摘要:該數(shù)字信號(hào)分析儀主要以數(shù)字信號(hào)發(fā)生器,偽隨機(jī)信號(hào)發(fā)生器為主,用 cpld發(fā)岀頻率可變的信號(hào)。其中,由數(shù)字信號(hào)發(fā)生器發(fā)出的信號(hào)可直接經(jīng)數(shù)字信 號(hào)分析電路測(cè)量,實(shí)現(xiàn)數(shù)字信號(hào)傳輸性能測(cè)試。同時(shí)經(jīng)三個(gè)有源低通濾波器,通 過(guò)加法器與由偽隨機(jī)信號(hào)發(fā)生器發(fā)出的信號(hào)共同由數(shù)字信號(hào)分析電路同步輸出 用來(lái)模擬傳輸信道測(cè)量,最終清晰的顯示擊眼圖效果。該系統(tǒng)能夠精確發(fā)出的信 號(hào)頻率范圍為lookhz-lomhzo文中還討論了低通濾波器的設(shè)計(jì)過(guò)程和加法器運(yùn)放 的選擇問(wèn)題,電路的供電電壓源也是我們自己設(shè)計(jì)的。關(guān)鍵字:數(shù)字信號(hào)發(fā)生器偽隨機(jī)信號(hào)發(fā)生器低通濾波器 眼圖abstra

2、ct: the digital signal analyzer mainly with digital signal generator, pseudo random signal generator is given priority to, with a different frequency signal based on fpga. among them, the digital signal generator signal can be directly via digital signal analysis circuit measurements, reeilize digit

3、al signal transmission performanee test. at the same time the three active low-pass filter, through the adder and pseudo random signal generator by signals from common by digital signal analysis circuit synchronization output used to simulate the transmission channel measureme nt, and fin ally clear

4、 show eye chart effect. the system can accurately signal frequency range for 100 kllz-10 mllz> the peiper also discussed the low-pass filter design process and adder op-amp choice problem, the power supply voltage source circuit is of our own design.key word: digital signal generatorpseudo random

5、 signal generatorlow-pass filter eye pattern目錄:一方案論證與比較31.1 信號(hào)發(fā)生器方案選擇與比較 31. 2濾波器設(shè)計(jì)方案的選擇與比較31. 3運(yùn)算器放大器的選擇與比較31. 4有源濾波電路的比較與選擇 3二理論分析與計(jì)算32.1 低通濾波器設(shè)計(jì)42.2 m序列數(shù)字信號(hào)的產(chǎn)生 42.3 同步信號(hào)提取52.4 眼圖顯示方法62. 5曼徹斯特碼編碼原理分析62.6發(fā)揮部分中的其他 6三電路與程序設(shè)計(jì)63. 1系統(tǒng)組成 63.2 原理框圖與各部分的電路圖73.3 系統(tǒng)軟件與流程圖10四測(cè)試方案與測(cè)試結(jié)果114.1 測(cè)試結(jié)果114.2 測(cè)試結(jié)果的分析13

6、五設(shè)計(jì)總結(jié)14參考文獻(xiàn):14附錄:15附錄i :元器件明細(xì)表 15附錄ii:儀器設(shè)備清單15附錄iii:電路圖圖紙 15附錄iv:程序清單17一.系統(tǒng)方案論證與比較1.1信號(hào)發(fā)生器方案選擇與比較方案一:信號(hào)發(fā)生器的設(shè)計(jì)可以用采用模擬分立元件或單片壓控函數(shù)發(fā)生器 max038,可產(chǎn)牛正弦波、方波、三角波,并通過(guò)調(diào)整外部元件改變輸出頻率,但 由于采用模擬器件,所用元件的分散性太大,即使使用單片函數(shù)發(fā)生器,也因參 數(shù)與外部元件有關(guān)(外接的電阻電容對(duì)參數(shù)影響很大),使頻率穩(wěn)定度較差,精度 低,抗干擾能力低,成本也高;況且其靈活性較差,而不能實(shí)現(xiàn)多種波形以及波 形運(yùn)算輸出等功能。方案二:由于cpld具有

7、可編程垂置特性,故我們可以選擇使用cpld來(lái)發(fā)送信 號(hào)。又因cpld可以方便地改變控制方式或更換波形數(shù)據(jù),而且簡(jiǎn)單易行,易于系 統(tǒng)升級(jí),同時(shí)具有很高的性?xún)r(jià)比。所以此方案可以使用。1.2濾波器設(shè)計(jì)方案的選擇與比較方案一:濾波器的設(shè)計(jì)可以用比較簡(jiǎn)單的rlc組成無(wú)源選頻網(wǎng)絡(luò)來(lái)進(jìn)行設(shè)計(jì), 即通過(guò)電感和電容的匹配對(duì)某次諧波并聯(lián)低阻(調(diào)諧濾波)狀態(tài),給某次諧波電 流構(gòu)成一個(gè)低阻態(tài)通路。這樣諧波電流就不會(huì)流入系統(tǒng)。無(wú)源濾波雖成本低,運(yùn) 行穩(wěn)定,技術(shù)相對(duì)成熟,容量大,但其諧波濾除率一般只有80%,對(duì)基波的無(wú)功 補(bǔ)償也是有限的,且無(wú)源濾波器的適用頻率范圍有限制,測(cè)試中各種指標(biāo)比較難 于滿(mǎn)足題目中要求。方案二:由

8、運(yùn)算放大器,電阻,電容等構(gòu)成的有源濾波電路來(lái)設(shè)計(jì)濾波器, 有源濾波除了濾除諧波外,同時(shí)還可以動(dòng)態(tài)補(bǔ)償無(wú)功功率。其優(yōu)點(diǎn)是反映動(dòng)作迅 速,濾除諧波可達(dá)到95%以上,補(bǔ)償無(wú)功功率。經(jīng)過(guò)測(cè)試我們發(fā)現(xiàn)用運(yùn)放等構(gòu)成 的有源濾波誤差小,且容易調(diào)節(jié),各種指標(biāo)都滿(mǎn)足題中要求,經(jīng)過(guò)比較我們選擇 了用有源網(wǎng)絡(luò)來(lái)設(shè)計(jì)濾波器。即我們選擇了方案二。1.3 運(yùn)算放大器的比較與選擇在我們所選的題屮要求濾波器的截止頻率為100k, 200k, 500k,且通帶增益 最大為4倍,所以我們需要選擇帶寬增益積較大且壓擺率也較大的運(yùn)算放大器, 經(jīng)過(guò)計(jì)算比較我們發(fā)現(xiàn)ne5532和op27比較合適,但經(jīng)過(guò)實(shí)際測(cè)試后發(fā)現(xiàn),對(duì)于 100k和2

9、00k來(lái)說(shuō),ne5532和op27都可以滿(mǎn)足實(shí)際要求,但對(duì)于500k,由于其帶寬 增益積與實(shí)際電路需要過(guò)于接近導(dǎo)致實(shí)際電路中用0p27滿(mǎn)足不了指標(biāo)要求,因此 我們選擇了ne5532,經(jīng)過(guò)測(cè)試發(fā)現(xiàn)濾波效果比較理想,且誤差等都能滿(mǎn)足所需設(shè) 計(jì)指標(biāo)。經(jīng)查資料我們選擇了opa842高速度,高帶寬,低噪聲的運(yùn)放做加法器,其帶 寬為400mh乙 滿(mǎn)足我們的需要。故我們選擇使用0pa842做運(yùn)算器。1. 4 有源濾波電路的比較與選擇有源濾波電路常見(jiàn)的有巴特沃斯,切比雪夫,反切比雪夫,橢圓函數(shù)等, 巴特沃斯有單調(diào)的幅頻特性曲線,且通帶比較平直,簡(jiǎn)單易調(diào)節(jié),經(jīng)過(guò)仿真及實(shí) 際測(cè)試發(fā)現(xiàn),波形比較完美,且各項(xiàng)指標(biāo)都能

10、達(dá)到要求,故我們選擇了巴特沃斯 濾波器,同時(shí)我們選擇的是器件較少,且容易調(diào)節(jié)的vcvs電路。具體電路見(jiàn)3. 2. 2.二理論分析與計(jì)算2. 1 低通濾波器設(shè)計(jì)與計(jì)算題目中要求每個(gè)濾波器帶外衰減不少于40db/十倍頻程,我們?nèi)?0db/十倍 頻程。三個(gè)濾波器的截止頻率分別為100khz. 200khz. 500khz,截止頻率誤差絕 對(duì)值不大于10%。有所需濾波器設(shè)計(jì)指標(biāo),即截止頻率wc,通帶最大衰減al,阻帶最小衰減 a2,我們可以算岀各種截止頻率濾波器所需的階數(shù)n均為41?;?0°"2一1)2 log()通過(guò)查找巴特沃茲濾波器vcvs電路參數(shù)的計(jì)算公式(如下所示)2bc

11、l + jm + 4c(k-1)c; -4cc1c2%r2 =1ccxcrxwc17?3 =&(7?1 + z?2)r4 = k(r1 + r2)cl <占2 +4u(k 1)c24cc2 = l0/fc我們可以設(shè)計(jì)出所需的濾波器電路。2. 2 m序列數(shù)字信號(hào)的產(chǎn)生原理分析:如圖所示:ni序列是最長(zhǎng)線性反饋移位寄存器序列的簡(jiǎn)稱(chēng)。它是由帶線性反饋的移位寄 存器產(chǎn)生的周期最長(zhǎng)的序列。由移位寄存器和反饋環(huán)節(jié)構(gòu)成,圖中所示即為基礎(chǔ) 要求中的函數(shù)式的序列的產(chǎn)牛原理。在每個(gè)循環(huán)周期中,1和0數(shù)碼按一定的規(guī)則順序排列的一種串行周期性信 號(hào)。采用移位寄存器作為主要存儲(chǔ)部件。將給定長(zhǎng)度為m的序列信

12、號(hào),按移存規(guī) 律組成ni個(gè)狀態(tài)組合,完成狀態(tài)轉(zhuǎn)移,然后求岀移位寄存器的串行輸入激勵(lì)函數(shù), 即構(gòu)成該序列信號(hào)的產(chǎn)生電路。2. 3 同步信號(hào)提取同步信號(hào)提取的原理是利用輸入碼元的跳變沿脈沖作為計(jì)數(shù)器的清零輸入 信號(hào),這里高精度時(shí)鐘的頻率為f,碼元速率為f,取f=2nf=2n/t(t為輸入的不 歸零碼元的寬度)。原理圖中的計(jì)數(shù)器為n進(jìn)制自動(dòng)增加計(jì)數(shù)器。當(dāng)輸入清零信 號(hào)后,計(jì)數(shù)器輸出翻轉(zhuǎn)。當(dāng)輸入碼元出現(xiàn)連“0”或是連“1”吋,一個(gè)碼元的長(zhǎng) 度為2nt。由于計(jì)數(shù)器為n進(jìn)制,計(jì)數(shù)器的計(jì)數(shù)值回到0時(shí),計(jì)數(shù)器的輸出仍然翻 轉(zhuǎn),占空比為:nt/2nt=50%o這樣就保證了一個(gè)輸入碼元的寬度對(duì)應(yīng)了占空比為 50%

13、的時(shí)鐘信號(hào),即實(shí)現(xiàn)了輸入碼元與計(jì)數(shù)器輸出clkout時(shí)鐘的同步。工作電路2.4 眼圖顯示方法及其作用所謂眼圖是指在傳送二進(jìn)制信號(hào)波形時(shí),在示波器上觀察輸出的波形很像人 的眼睛。它可以通過(guò)用一個(gè)示波器跨接在接受濾波器的輸出端,然后調(diào)整示波器 的水平掃描周期,使其與接收碼元的周期同步,這種方法可以從示波器上觀察出 碼間干擾和噪聲的影響,從而觀察到眼圖。作用:實(shí)際上眼圖就是隨機(jī)信號(hào)在反復(fù)掃描的過(guò)程中疊加在一起的綜合反 應(yīng)。眼圖的垂直張開(kāi)度表示系統(tǒng)的抗噪聲能力,水平張開(kāi)度反映過(guò)門(mén)限失真量的 大小。眼圖的張開(kāi)度受噪聲和碼間干擾的影響,當(dāng)輸出信噪比很大時(shí)眼圖的張開(kāi) 度主要受碼間干擾的影響,因此觀察眼圖的張

14、開(kāi)度就可以估算出碼間干擾的大 小。2. 5 曼徹斯特碼編碼原理分析曼徹斯特碼也稱(chēng)雙相碼,其編碼規(guī)則是0碼用“01”表示,1碼用“10”表示。如1 1 0 1 1 1 1轉(zhuǎn)為曼徹斯特碼就是10 10 01 10 10 10 10.2. 6 發(fā)揮部分中的其他:2. 6. 1密勒碼編碼原理分析密勒碼也稱(chēng)延遲調(diào)制碼,它的編碼規(guī)則是:1碼用碼元中心出現(xiàn)躍變來(lái)表示, 即用10或01表示,0碼有兩種情況,單個(gè)0時(shí),在碼元持續(xù)時(shí)間內(nèi)不出現(xiàn)電平躍變, 且與相鄰碼元的邊界處也不躍變,連0時(shí),在兩個(gè)0碼的邊界岀現(xiàn)電平躍變,即00 和11交替。如1 1 0 10 0 10,轉(zhuǎn)為密勒碼后為10 01 11 11 00

15、00 11 10o2. 6. 2信號(hào)頻率提取及顯不運(yùn)用基于stm32的fft程序,提取出信號(hào)的基頻(幅頻特性圖中,幅值最人的 信號(hào)所對(duì)應(yīng)的頻率)。然后用定時(shí)器發(fā)出與基頻信號(hào)同頻率的方波信號(hào)。同時(shí)用stm32控制19264液晶進(jìn)行頻率的顯示。三電路與程序設(shè)計(jì)3. 1 系統(tǒng)組成該系統(tǒng)由cpld發(fā)出兩路信號(hào),一路為頻率從10k到100k范圍內(nèi)可調(diào)的數(shù)字序 列信號(hào),信號(hào)發(fā)出后經(jīng)過(guò)濾波器濾波后,與偽隨的模擬噪聲序列相加后進(jìn)入數(shù)字 信號(hào)分析模塊,進(jìn)行同步提取顯示。所以其主要有電源模塊,控制模塊信號(hào)發(fā)生 模塊,信號(hào)處理模塊等構(gòu)成,其系統(tǒng)組成框圖如圖所示:3. 2 原理框圖與各部分的電路圖3. 2. 1原理框

16、圖如圖所示:由cpld模塊發(fā)出的序列信號(hào)與偽隨機(jī)信號(hào)經(jīng)濾波后相加,stm32 主要控制頻率的調(diào)節(jié)及濾波器的選擇。序夕帰號(hào)stm32cpu)繼電器繼電器加法、加法偽隨帆信號(hào)3.2.2濾波電路圖由ne5532構(gòu)成的100khz低通濾波器如圖1所示,實(shí)際測(cè)量截止頻率為94khz, 其誤差為6%,小于10%符合題目要求。xfg1圖t由高精度運(yùn)放0p27構(gòu)成的200khz低通濾波器如圖2所示,實(shí)際測(cè)量截止頻率 為186k1iz,其誤差為7%,小于10%符合題口要求。ctg1圖-2由高速運(yùn)放ne5532構(gòu)成的500khz低通濾波器如圖3所示,實(shí)際測(cè)量截止頻率 為460khz,其誤差為8%,小于10%符合題

17、目要求。ne55b2pu2a圖-33.2.3加法運(yùn)算電路圖3.2.4序列,偽序列,曼徹斯特碼及密勒碼牛成的模塊原理圖reset=> w 1 ffenpinydk dk25 reset>-j inst2jji weixuliedkdkoudresetzout tti inst ' resex4zmopinplrtmdkout qyim 一 一n cfcoutrtl,tbi,t |> vic*20ai v 'keu*keyp.lt)gwith!w*ewr* v -w 卩*». «w7dkoutvlckdkclkoutrtsti¥ ic

18、ikkey p.o)zmomikmanch«xuiiejuput|、zm(1 0p”血、mvlclk3.2.5發(fā)揮題曼徹斯特編碼仿真波形圖:14.075 ns v pointer:5.73 us interval:5.72 usstart:end:master time bar:5.73 usi5.81 us i5.89 usi5. 97 us i6.05 usi6.13 us16.21 us i6.29 usinnruwuwuwuwmmuwuwmrnjwurlru ltltn_nulrlm_rn_rrlrlrl_m_mlm_n_rlmrmlrrlntlmrtn ui_n_rn_rn

19、_m_n_n_rn_rnlrmn_mrm_rrln_n_mrtn ntrndjnn nin注:圖中zmo為曼徹斯特碼輸出mi lc為密勒碼輸出。圖-63.2.6發(fā)揮題提取同步信號(hào)模塊tiquxintaocooeoutdcout clk3clrinsto:famecodeqddoutlpairm3nfenpiniiajianfenclklclk2 inc decinst2out1elkout2maichong圖一 73.3系統(tǒng)軟件與流程圖vesytsli jkiiza 31k載劇;.5盯1丄二幵.m>xi t jjjyts;f kjft x;f kjft x四測(cè)試方案與測(cè)試結(jié)果4. 1 測(cè)

20、試結(jié)果4.1.1濾波器電路測(cè)試結(jié)果:測(cè)試條件:uipp二2v,測(cè)試儀器:示波器 表1:設(shè)定截止頻率 fc濾波器輸出電壓vp p0.707alip 時(shí)實(shí) 測(cè)截止頻率誤差理論值測(cè)量值100khz2v1.60v95. 5khz4. 50%200khz200mv144mv195. 4khz2. 30%500khz200mv112mv460. 7ki1z7.86%不同截止頻率下的電壓增益: 表2:測(cè)試條件輸入頻率輸出電壓電壓增益fc=100khz的低通 濾波器,輸入電壓 為2v10k2. 00v1.0050k1.88v0. 94100k1.60v0. 80150k1.50v0. 75200k1.00v0

21、. 501m0. 018v0. 009fc二200khz的低通 濾波器,輸入電壓為200mv10k240mv1.20100k184mv0. 92150k168mv0. 84200k144mv0. 72250k120mv0. 602m1. 8mv0. 009fc=500khz的低通 濾波器,輸入電壓 為200mv300k1020mv5. 10400k272mv1.36500k112mv0. 56600k80mv0. 40700k52mv0. 265m1. 6mv0. 0084.1.2信號(hào)發(fā)生器的測(cè)試結(jié)果: 表3:數(shù)據(jù)率 (單位kbps)輸出信號(hào)的實(shí) 測(cè)數(shù)據(jù)率誤差數(shù)字信號(hào)發(fā)生器的 測(cè)試109. 9

22、8k0. 20%2019. 97k0. 15%3030. 30k1.00%4039. 98k0. 05%5049. 99k0. 02%6059. 52k0. 80%7069. 44k0. 80%8079. 25k0. 93%9089. 29k0. 79%100100. 00k0偽隨機(jī)信號(hào)發(fā)生器 的測(cè)量1010. 05m0. 50%4.1.3偽隨機(jī)信號(hào)發(fā)生器的測(cè)量 表4:輸出信號(hào)峰峰值輸出信號(hào)實(shí) 測(cè)峰峰值誤差loomv98. lmv1.9%4.1.4數(shù)字信號(hào)sa眼幅度測(cè)試表5:眼幅度測(cè)試數(shù)字信號(hào)數(shù)據(jù)率(kbps)眼幅度(vpp)基礎(chǔ)部分103. 32203. 28303. 36403. 3250

23、3.44603. 60703. 32803. 64903. 321003. 60發(fā)揮部分102. 60202.68302. 64402. 60502. 68602. 76702. 80802.88902. 881002. 884.1.5發(fā)揮部分(3)偽隨機(jī)信號(hào)發(fā)生器輸出信號(hào)"幅度可調(diào)的測(cè)量表6:wp-p誤差實(shí)際值測(cè)量值loomv98mv2.0%300mv328mv9. 3%600v624mv4. 0%looomv946mv6. 4%1500mv1400mv6. 7%4.2測(cè)試結(jié)果的分析系統(tǒng)的測(cè)試指標(biāo)均達(dá)到要求,部分指標(biāo)超過(guò)題冃要求:低通濾波器的通帶增 益朋 在025.0范圍內(nèi)可調(diào),優(yōu)

24、于在0240范圍內(nèi)可調(diào)。有表2可知:lookhz 的濾波器在1m時(shí)的衰減為40. 915db/十倍頻,200khz濾波在2m時(shí)的衰減為 40.92db/-|-倍頻,500khz濾波器在5m時(shí)的衰減為41.938db/ |-倍頻,均滿(mǎn)足題目 中大于40db/十倍頻的指標(biāo)要求。數(shù)字信號(hào)發(fā)生器發(fā)出了 10k到100k步進(jìn)可調(diào)的方 波信號(hào),偽隨機(jī)信號(hào)發(fā)生器發(fā)出了 10m的方波信號(hào)。不過(guò)題目屮依然存在誤差, 原因有:人為誤差、碩件誤差、測(cè)量?jī)x器誤差、連接線誤差,雜散引入誤差等。 減小誤差可從改變電路,提高儀器精度,減弱外界干擾和多次測(cè)量取平均值等方 面改善。五設(shè)計(jì)總結(jié)題目要求每個(gè)濾波器帶外衰減不少于40

25、db/十倍頻程,實(shí)際設(shè)計(jì)的每個(gè)濾波 器帶外衰減可達(dá)50db/十倍頻程,如果改善電路加入補(bǔ)償放犬倍數(shù)還可以提升, 但是我們?cè)诂F(xiàn)有的儀器條件下只能取到50db/十倍頻程。不過(guò)也己經(jīng)超出了指標(biāo) 要求的最小值。整體來(lái)說(shuō)系統(tǒng)架構(gòu)設(shè)計(jì)合理,功能電路實(shí)現(xiàn)較好,系統(tǒng)性能優(yōu)良、 穩(wěn)定,較好地達(dá)到了題目要求的各項(xiàng)指標(biāo)。在這次設(shè)計(jì)中我們也學(xué)到了很多理論 知識(shí)以外的知識(shí)。參考文獻(xiàn):1周志皺,周紀(jì)海,紀(jì)愛(ài)華(編),便攜式電子設(shè)備電源設(shè)計(jì)與應(yīng)用,北京: 人民郵電出版社,2007. 6;2華成英,童詩(shī)白著,模擬電子技術(shù)基礎(chǔ),北京:高等教育出版社 2006. 12;3閻石著,數(shù)字電子技術(shù)基礎(chǔ),北京:高等教育出版社,1997年

26、;4孫肖子,鄧建國(guó),陳南,錢(qián)聰,任愛(ài)鋒,易運(yùn)暉著,電子設(shè)計(jì)指南,北京: 高等教育出版社2005. 75寧武,唐曉宇,閆曉金著,全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽一基本技能指導(dǎo) 北京:電子工業(yè)出版社2009. 56羅朝霞,高書(shū)莉著,cpld/fpga設(shè)計(jì)及應(yīng)用,北京:人民郵電出版社,2007. 17樊昌信,曹麗娜著,通信原理,北京:國(guó)防工業(yè)出版社,2007. 3附錄:附錄i :元件明細(xì)表:1.0p272. ne55323. 0pa8424. 電容電阻5. 繼電器6. 變壓器7 晶體二極管和晶體三極管8.晶振9.stm32和cpld10. 19264液晶附錄ii:儀器設(shè)備清單:1 信號(hào)發(fā)生器2數(shù)字萬(wàn)用表3.

27、數(shù)字示波器4. 自制穩(wěn)壓屯源5. 頻率計(jì)附錄iii:電路圖圖紙pnolgfrtcivatjcqj nwtao chi4»c oil r m« r >n"、j (rivah 恥palusakt2 fctvaxiu mts otftwc <3c>th忑沁 btlav tfailoamh tvtiws o<kau:.;$c-llftttcimt f imjvueb axsx»> imbra*taa*n'runia*<:>wascin'(x»tiw*c»«icxmtmtr;

28、cnaasrtl、血0*1: o. '3w cx.-a.at: >47”. .站、rasrtl 3o-tml <asx.a1x.i1、,702沁、“aaabi: ikmikz ata mlkatal. tiki <mntlm« mmm”"心 n,3:. *ka'ahl wimvtti»valt: i: :v>tim«cws k»處m.3"y1mtaaim clutlmi ciumlc# 3ti tk>tiwi 加:幾tjka1t: k5mi cr«ugtwqwvth uuwom

29、,4* nt】. ktma tv:=m t tva m 30mmttdkwakhubnudcts ztsu, te5rfc.adc:; iwrv* (hvt>a owhm; <x2* -k.adc iwriv! l?mt.v»m <h<«t>bmtonmu*ii 加sm vl/tmx ouwil uk rf*xnm7<uii餉的i goziz” mg、,ot.vl- 0u5 m/v >t«.jxi x-iz .u4 ch.<xtr; r>m5i、r*、g zao.d w c心 3: 八rt»!m*5w

30、u 1j*!xi x;lajc4 *xm»h m4 chfcx.lu :mix i xjava>j rx 人作nrfml.ta. mvi3t,hvhm. cm24: 5mma/j3" 3emi 亠iwi.wu kxazu ckntlarn c.tv1mi cmin wuvt3wi <wm<4altl rifcphiwi;simi cr©h«anr:i n:ok am :| n 心心:ml:2心:心 心ax ; z池、ax : l、:9 kms: mlv -m» 5皿k> ir/i-w' c- n ma«

31、_mlv:.m»>pvtai,kvtg ggu ix/tim« ch9 kmlac*cm.x4u wit cb* ka*mla)t:4 :umo 心.3" tx k1maa1t4 »vk4olixkuahak : sthoglmtd八*. ktv!a>tf>jtxk ft 7 m xtmmpdrrvdo i vto": vtjo » vu>* vix> »vtku vwf匸七鈿t f公it f 上上, jr u 下嚇* ,下嚇"k«.»u< :z!i”sugk

32、>m“ f«v.xa*tt c1 mh *>mal jtiu4 4 .:3” bu 心53 aitrtmm w » : afml indian cl- kxifxml avim* ohp27m7up-i.f»m:總 i mutcmsuc <ut fvttrm rtm*m« a; f*«nucn>»fm “> ffmwmjwl a2izuqhsm a2. mrs fir*!m! tn沁 uv:im. lmiv piv7mk iwrtui cm pf rhmi chjh 斥i/wi r*iwi «

33、ri g:m c1uw i9ii4mc oivtimi uhi wjwmr'biimi cm bmtm 3、tac.ik«conrcmiwmitvta?0 ims*-;0 »v»*vm »wuwff業(yè) rgsr>電&朋cseexstm32控制芯片tpi0pa842加法電路cpld電路板電路圖附錄iv:核心源程序1.序列生成核心源程序:process (elk)begintf (clk,event and elk二'1') thenm(0) <=m(l);m(l)<=m(2);m(2) <=m(3);

34、m(3) <=m(4);m (4) <=m (5);m(5) <=m (6);m(6) <=m(7);end if;end process;process(elk)beginif (elk'event and elk二'1') thenm(7)<=dout (4) xor dout (5) xor dout (6) xor dout (0);end if;end process;ld<=m(0);2.同步信號(hào)提取核心程序:2.1鑒相模塊entity jianx isport (code, doutl:in std_logic;qd,p

35、a:out std_logic);end entity jianx;architecture art6 of jianx issignal qo:std_logic;beginprocess(code, doutl) isbeginif (code event and code=,t) thenif (code and doutl)=,t) thenq0<= r ;elseq0<=,o'end if;end if;end process;qd<=qo;pa<二not qo;end art6;2. 2.調(diào)相模塊entity jiajian isport (clkl

36、, clk2, inc, dec:in std_logic;fen:out std logic);end entity jiajian;architecture art2 of jiajian issignal add,dete: std_logic;beginprocess (clkl)beginadd<=inc and clkl;end process;process(clk2)begindete<二(not dec)and clk2;end process;fen二add or dete;end art2;2. 3分頻模塊process(elk, reset) isbegin

37、if (reset二'o') then clkcnto二000000000000;elsif (elk" event and clk=" t)thenif (clkcnt0=divcnt0) then clkcnt0u000000000000 ; clkdiv0<=not clkdivo;elseclkcnto<=clkcnto+l;end if;end if;end process;2.4濾波器選擇及信號(hào)頻率轉(zhuǎn)換控制模塊void bu11on_100k(void) if (gpio_readinputdatabit(gpioa, gpi0_pi

38、n_6) = reset) delay ms (10);if(gpio_readinputdatabit(gpioa, gpi0_pin_6)二二 reset)delay_ms(1000);if(gpio_readinputdatabit(gpioa, gpi0_pin_6) = set) gpio_writebit (gpiob, gpi0_pin0, (bitaction) (l-(gpio_readinputdatabit (gptob, gpi0_pin_0);gpio_setbits(gpiod, relay_inl);gpio_resetbits(gpiod, relay_in2)

39、;gpio resetbits(gpiod, relay_in3);gpio setbits(gpiod, relay outl);gpio_resetbits(gptod, relay_out2);gpio_rcsctbits(gpiod, rclay_out3);void button_200k(void)if (gpio_readinputdatabit(gpioa, gpi0_pin_7) = reset) delay ms (10);if(gpt0_readtnputdatabit(gpt0a,gpt0_pin_7)二二 reset) dclay_ms (1000);if(gp10_

40、readlnputdatabit(gp10a, gp10_pin_7) = set)gptojvritebit(gptob,gpto_pin_l, (bitaction) (1-(gpto_readtnputdatabit(g piob, gpi0_pin_l);gpio_setbits(gpiod, relay_in2);gpio resetbits(gpiod, relay inl);gpio resetbits(gpiod, relay_in3);gpto_setbits(gptod, relay_out2);gpio_rcsctbits(gpiod, relay_outl);gp10_

41、resetbits(gp10d, relay_out3);void button_500k(void) if(gpio.readlnputdatabit(gpioc, gpi0_pin_4) = reset) delay_ms(10);if(gpio_readinputdatabit(gpioc, gpi0_pin_4) = reset) delayms(500);if(gpto_readtnputdatabit(gptoc, gpt0_pin_4)二二 set)gpiojvritebit(gpiob, gpi0_pin_l, (bitaction)(l-(gpio_readinputdatabit (g piob, gpi0_pin_l);gpio_setbits(gpiod, relay_in3);gp10_resetbits(gp10d, relay_inl);gpio resetbits(gpiod, relay_in2);gpio setbits(gpiod, relay_

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論