電子鐘課程設(shè)計報告數(shù)字鐘設(shè)計_第1頁
電子鐘課程設(shè)計報告數(shù)字鐘設(shè)計_第2頁
電子鐘課程設(shè)計報告數(shù)字鐘設(shè)計_第3頁
電子鐘課程設(shè)計報告數(shù)字鐘設(shè)計_第4頁
電子鐘課程設(shè)計報告數(shù)字鐘設(shè)計_第5頁
已閱讀5頁,還剩3頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、題目:數(shù)字鐘設(shè)計一、實驗?zāi)康膶W(xué)習(xí)并掌握數(shù)字鐘的原理、設(shè)計方法。二、實驗內(nèi)容計數(shù)時鐘由模60秒計數(shù)器、模60分計數(shù)器、模24小時計數(shù)器、報時模塊、分、時校定模塊及輸出顯示模塊構(gòu)成??梢圆捎猛接嫈?shù)器或異步計數(shù)器設(shè)計方法。三、實驗要求1. 計時范圍為0小時0分0秒至23小時59分59秒2. 采用6個8段數(shù)碼管分別顯示小時十位,小時個位、分鐘十位、分鐘個位、秒十位、秒個位。3. 整點報時,蜂鳴器響5聲,每秒1聲。4. 校時功能,能夠單獨校分、校時。用按鍵控制。5. 具有清零、啟動/停止計數(shù)的功能。用按鍵控制。四、實驗原理數(shù)字鐘的基本原理是采用時鐘源提供的頻率作為秒模塊的時鐘進(jìn)行計數(shù),當(dāng)秒模塊計數(shù)達(dá)到

2、59秒時為分模塊提供時鐘,該時鐘通過狀態(tài)選擇模塊送到分模塊,同理,分模塊向小時模塊提供時鐘時也是如此。整點報時模塊是利用分鐘向小時的進(jìn)位時鐘脈沖作為觸發(fā)源,利用秒的個位計時以及實驗板提供的時鐘源頻率達(dá)到報時五秒的目的。譯碼顯示模塊則通過8421BCD碼與數(shù)碼管各段的關(guān)系進(jìn)行轉(zhuǎn)化,由于實驗板不支持動態(tài)掃描所以需在秒,分,時的個位與十位都添加譯碼顯示模塊。原理框圖如下: 時鐘源秒模塊分模塊狀態(tài)選擇模塊時模塊狀態(tài)選擇模塊暫停信號調(diào)分模塊塊數(shù)碼管數(shù)碼管數(shù)碼管報時模塊 五、模塊設(shè)計1、 秒計數(shù)模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_

3、logic_unsigned.all;entity second is port(clk,rst:in std_logic; enmin:out std_logic; shiwei:out std_logic_vector(3 downto 0); gewei:out std_logic_vector(3 downto 0);end entity second;architecture rtl of second issignal a,b:std_logic_vector(3 downto 0);signal c:std_logic_vector(7 downto 0); begin c=b&

4、a; process(clk,rst,c) begin if(rst=1)then a=0000; b=0000; enmin=0; elsif(clkevent and clk=1)then a=a+1; if(a=1001)then a=0000; b=b+1; if(b=0101)then benminenmin=null; end case; end process; gewei=a; shiwei=b;end architecture rtl;其模塊仿真圖為: 2、 分計數(shù)模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_

5、logic_unsigned.all;entity minute is port(clk,rst:in std_logic; enhour:out std_logic; mshiwei:out std_logic_vector(3 downto 0); mgewei:out std_logic_vector(3 downto 0);end entity minute;architecture rtl of minute issignal m,n:std_logic_vector(3 downto 0);signal c:std_logic_vector(7 downto 0); begin c

6、=n&m; process(clk,rst,c) begin if(rst=1)then m=0000; n=0000; enhour=0; elsif(clkevent and clk=1)then m=m+1; if(m=1001)then m=0000; n=n+1; end if; end if; if(c=01011001)then n=0000; menhourenhour=null; end case; end process; mgewei=m; mshiwei=n;end architecture rtl;其模塊仿真圖為: 3、 時計數(shù)模塊library ieee;use i

7、eee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour is port(clk,rst:in std_logic; hshiwei:out std_logic_vector(3 downto 0); hgewei:out std_logic_vector(3 downto 0);end entity hour;architecture rtl of hour issignal p,q:std_logic_vector(3 downto 0); begin process(clk,rst) begin if(rst=1

8、)then p=0000; q=0000; elsif(clkevent and clk=1)then p=p+1; if(p=1001)then p=0000; q=q+1; end if; end if; if(q=0010 and p=0100)then p=0000; q=0000; end if; end process; hgewei=p; hshiweiaaa=clk; end case;y=a;end process;end architecture rtl;其模塊仿真圖為: 5、 整點報時模塊library ieee;use ieee.std_logic_1164.all;u

9、se ieee.std_logic_unsigned.all;entity alert is port(clk:in std_logic; d:in std_logic_vector(3 downto 0); en:in std_logic; alarm:out std_logic);end entity alert;architecture rtl of alert is signal enalarm:std_logic; begin alarm=enalarm; process(clk,en,d) begin if(en=1)then enalarm=clk; end if; if(d=0

10、101)then enalarm=0; end if; end process;end architecture rtl;其模塊仿真圖為: 6、 譯碼顯示模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity deled isport(num: in std_logic_vector(3 downto 0); led:out std_logic_vector(6 downto 0);end entity deled;architecture rtl of deled isbegin le

11、d=1111110when num=0000else 0110000when num=0001else 1101101when num=0010else 1111001when num=0011else 0110011when num=0100else 1011011when num=0101else 1011111when num=0110else 1110000when num=0111else 1111111when num=1000else 1111011when num=1001else 1110111when num=1010else 0011111when num=1011else 1001110when num=1100else 0111101when num=1101else 1001111when num=1110else 1000111when num=1111;end architecture rtl;其模塊仿真圖為:

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論