版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、三層電梯控制電路設計一. 設計要求1. 每層電梯入口處設有上下請求開關,電梯內(nèi)設有顧客到達層次的停站請求開關。2. 設有電梯入口處位置指示裝置及電梯運行模式(上升或下降)指示裝置。3. 電梯每秒升(降)一層樓。4. 電梯到達有停站請求的樓層,經(jīng)過1秒電梯門打開,開門指示燈亮,開門4秒后,電梯門關閉(開門指示燈滅),電梯繼續(xù)進行,直至執(zhí)行完最后一個請求信號后停留在當前層。5. 能記憶電梯內(nèi)外所有請求,并按照電梯運行規(guī)則按順序響應,每個請求信號保留至執(zhí)行后消除。6. 電梯運行規(guī)則一當電梯處于上升模式時,只響應比電梯所在位置高的上樓請求信號,由下而上逐個執(zhí)行,直到最后一個上樓請求執(zhí)行完畢;如果高層有
2、下樓請求,則相反。7. 電梯初始狀態(tài)為一層開門狀態(tài)。二. 設計目的電梯控制器是控制電梯按顧客要求自動上下的裝置。本文采用VHDL語言來設計實用三層電梯控制器,其代碼具有良好的可讀性和易理解性,通過對三層電梯控制器的設計,可以發(fā)現(xiàn)本設計有一定的擴展性,而且可以作為更多層電梯控制器實現(xiàn)的基礎。三. 控制器的設計方案控制器的功能模塊如圖1所示,包括主控制器、分控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達的樓層,通過主控制器的處理,電梯開始運行,狀態(tài)顯示器顯示電梯的運行狀態(tài),電梯所在樓層數(shù)通過譯碼器譯碼從而在樓層顯示器中顯示。分控制器把有效的請求傳給主控制器進行處理,同
3、時顯示電梯的運行狀態(tài)和電梯所在樓層數(shù)。由于分控制器相對簡單很多,所以主控制器是核心部分。圖1.電梯控制器原理圖三層電梯控制器的結(jié)構(gòu)體設計首先說明一下狀態(tài)。狀態(tài)機設置了10個狀態(tài),分別是電梯停留在I層(stoponl)、開門(dooropen)、關門(doorclose)、開門等待第1秒(doorwaitl)、開門等待第2秒(doorwait2)、開門等待第3秒(doorwait3)、開門等待第4秒(doorwait4)、上升(up)、下降(down)和停止(stop)。在實體說明定義完端口之后,在結(jié)構(gòu)體architecture和begin之間需要有如下的定義語句,來定義狀態(tài)機。在結(jié)構(gòu)體中,設計
4、了倆個進程互相配合,一個是狀態(tài)機進程作為主要進程,另外一個是信號燈控制進程作為輔助進程。狀態(tài)機進程中的很多判斷條件是以信號燈進程產(chǎn)生的信號燈信號為依據(jù)的,而信號燈進程中信號燈的熄滅又是由狀態(tài)機進程中傳出的clearup和cleardn信號來控制。在狀態(tài)機進程中,在電梯的上升狀態(tài)中,通過對信號燈的判斷,決定下一個狀態(tài)是繼續(xù)上升還是停止;在電梯下降狀態(tài)中,也是通過對信號燈的判斷,決定下一個狀態(tài)是繼續(xù)下降還是停止;在電梯停止狀態(tài)中,判斷是最復雜的,通過對信號的判斷,決定電梯是上升、下降還是停止。本設計需要完成的任務是編寫VHDL弋碼來模擬現(xiàn)實中的三層電梯工作。在點陣上顯示電梯所在的樓層,當其它樓層有
5、上或下的請求信號時,表示該樓層上或下的綠色或黃色指示燈亮,電梯開始上或下運行,當?shù)竭_該樓層時,表示該樓層上或下的綠色或黃色指示燈滅,表示到達該樓層的紅色指示燈亮,點陣顯示樓層數(shù),紅色指示燈滅。五.vhdl源程序libraryieee;useieee.std_logic_1164.all;useieee.stdogic_arith.all;useieee.std_logic_unsigned.all;entityelevatorisport(clk:instdogic;-ClockSignalk1,k2u,k2d,k3instd_logic;-Pushbuttond1,d2u,d2d,d3:ou
6、tstdogic;-Ledofeveryfloordoor1,door2,door3:bufferstd_logic;-doorledr0,r1,r2,r3,r4,r5,r6,r7outstdogic;-7segmentdriversa,sb,scoutstdogic);-DisplaySelectendelevator;architecturebehaveofelevatorissignalstate1,state3:stdogic;signalstate2u,state2d:std_logic;signaldoorflag:std_logic;signaludflag,runflag:st
7、d_logic;-upanddownflag,runflagsignaldcount:stdogic_vector(2downto0);-displaycountersignaldisplay:std_logic_vector(7downto0);signallocation:std_logic_vector(1downto0);signalwcount:std_logic_vector(10downto0);-waitcountersignalcounterdoorcountstdogic_vector(9downto0);-doorsignalcol1,col2,col3,col4,col
8、5,col6:std_logic_vector(7downto0);beginprocess(clk)-judgethekeyisorisnotbeenpushedbeginif(clk'eventandclk='1')thenif(k1='0'anddoor1='0')thenstate1<='1'd1<='1'elsif(location=0andwcount=0)thend1<='0'if(doorcount=1020)thenstate1<='0
9、9;endif;endif;if(k2u='O'anddoor2='0')thenstate2u<='1'd2u<='1'elsif(location=1andudflag='1'andwcount=0)thend2u<='0'if(doorcount=1020)thenstate2u<='0'endif;endif;if(k2d='0'anddoor2='0')thenstate2d<='1'd2d<
10、;='1'elsif(location=1andudflag='0'andwcount=0)thend2d<='0'if(doorcount=1020)thenstate2d<='0'endif;endif;if(k3='O'anddoor3='0')thenstate3<='1'd3<='1'elsif(location=2andwcount=O)thend3<='0'if(doorcount=1020)thenstat
11、e3<='0'endif;endif;endif;endprocess;process(clk)beginif(clk'eventandclk='1')thenif(location=0)then-display1col1<="00000001"col2<="00100001"col3<="01111111"col4<="11111111"col5<="00000001"col6<="00000001&q
12、uot;elsif(location=1)then-display2col1<="01100011"col2<="11100111"col3<="10001101"col4<="10011001"col5<="11110011"col6<="01100111"elsif(location=2)then-display3col1<="01000010"col2<="11011011"col3
13、<="10011001"col4<="10011001"col5<="11111111"col6<="01100110"endif;endif;endprocess;process(clk)-accumulatedcountbeginif(clk'eventandclk='1')thendcount<=dcount+1;endif;endprocess;process(clk)beginif(clk'eventandclk='1')the
14、nsa<=dcount(0);sb<=dcount(1);sc<=dcount(2);casedcountiswhen"111"=>display<="00000000"whenothers=>display<="00000000"endcase;endif;endprocess;process(clk)-Inthisprocess,a,b,c,d,e,f,ganddotwilloutputbeginif(clk'eventandclk='1')thenr0<=d
15、isplay(7);r7<=display(0);endif;endprocess;endbehave;注釋:1. 本程序設計調(diào)用了IEEE庫,IEEE庫是VHDL設計中最為常用的庫,它包含有IEEE標準的程序包和其他一些支持工業(yè)標準的程序包。本設計采用了STD_LOGIC_1164、STD_LOGIC_ARITH、STD_LOGIC_UNSIGNED程序包。圖2.三層電梯控制器的vhdl描述2. 以關鍵詞ENTITY引導,ENDENTITYthreeflift結(jié)尾的語句部分,稱為實體。VHDL的實體描述了電路器件的外部情況及各信號端口的基本性質(zhì)。本設計定義了關于三層電梯控制器用到的各類
16、時鐘、異步復位按鍵、信號燈指示、電梯的請求。端口模式主要就是IN、BUFFER、OUT端口。及定義了各端口信號的數(shù)據(jù)類型,主要是STD_LOGIC(標準邏輯位數(shù)據(jù)類型)、INTEGER(整數(shù)類型)、STD_LOGIC_VECTOR(標準邏輯矢量數(shù)據(jù)類型)。這些都滿足上面調(diào)用的IEEE庫中的程序包。3. 以關鍵詞ARCHITECTURE弓I導,ENDARCHITECTUREa結(jié)尾的語句部分,稱為結(jié)構(gòu)體。結(jié)構(gòu)體負責描述電路器件的內(nèi)部邏輯功能或電路結(jié)構(gòu)。本設計定義了IO個狀態(tài)。描述了在三層電梯中出現(xiàn)的各種可能的情況作為控制電梯的主要進程。信號燈控制作為輔助進程。六. 三層電梯控制器模塊原理圖圖3.三
17、層電梯控制器模塊原理圖七. 三層電梯控制器的仿真模塊1. 下圖所示仿真的是在第二層電梯外部有上升請求,也就是f2upbuttton信號的一個脈沖,可以看到電梯從一層上升到二層,position信號由1變到2,doorlight信號1'表示開門,0'表示關門。當乘客進入電梯以后,在電梯內(nèi)部要求上升到第三層,也就是stop3button產(chǎn)生一個脈沖,電梯上升到第3層,開門4秒以后關門,停留在第三層,position最后的值為3。在仿真圖中看不到buttonclk,只顯示為一條黑色的線,是因為采用了頻率較大的時鐘。再看fuplight信號燈,當二層有上升請求的時候,它的值由0變到2。
18、(注意fuplight和fdnlight是3位的二進制向量,這里的2代表“010”,表示二層有請求;“100”也就是4,表示三層有請求)。當電梯停留到第二層以后,表明該請求被響應,所以它的值變?yōu)?,由于沒有下降請求信號,所以fdnlight信號燈的值一盲都為O。Mimi#-1*!buttonOur-kflJhW-Il訶Wig9-科轉(zhuǎn)IZEP""&曲$第眄f"QjpCMHm伽f上Im74mli«7”扛4u>kiyt*JTtlwqltl世*砂和暉圖4.有上升請求的仿真波形.2. 是有下降請求的情況,它是圖4的繼續(xù),當電梯停留在第三層的時候,在電
19、梯外第二層有下降請求,這時候fdnlight信號燈由0變?yōu)?,說明第二層有下降請求。電梯下降到第二層,響應了下降請求,所以fdnlight信號燈清0。這時候,在電梯內(nèi)部沒有停留在哪層的請求,所以電梯就停留在第二層,position信號的值保持在2。I*-HF-礦耳鼻*憾片Y桔屮甸-冷圖5有下降請求的仿真波形3. 同時有上升和下降請求信號時,電梯的運行情況如圖6所示。圖6仿真的情況是,原先電梯停留在第一層,這時候電梯外第三層有下降請求,電梯上升到第三層,乘客進入電梯以后要求下降到一層,與此同時,在電梯外第二層有上升請求,電梯首先要響應下降請求然后再響應這個上升請求,所以電梯得先下降到一層,然后再
20、上升到第二層來,這是符合常理的。從仿真的波形看,電梯的位置變化和想象是一致的。電梯的運行情況完全正確。最后乘客在電梯內(nèi)部要求上升到三層,所以電梯最后的停留位置為三層。4. 圖7所示的仿真,原先電梯停留在第一層,電梯外第三層有下降請求,電梯上升到三層,乘客進入電梯以后要求下降到一層,此時,二層有下降請求,接著又有上升請求,電梯首先在二層停留。然后下降到一層。隨后要響應二層上升請求,上升到二層,乘客進入電梯以后要求上升到三層,所以電梯最后的停留位置在三層。thjriMMLbIT-.*i'EHS-JhtjiiwVlip?biLAT-LMSf-flbTU-n*ib£nfnn1DP*®亞血血血紳軻巾巾*9QQi»>-11一”A-oME*dVIll.2嚴uinnnnnnnnnfULnjuuuijv叫yjuui肌血uuinjinw山iur圖7.復雜情況下請求信號的仿真波形八. 設計的擴展性在本設計中
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024年特定區(qū)域獨家銷售代表合同版B版
- 城市物流園區(qū)停車場施工合同
- 隧道建設三方施工合同
- 臨時文化展覽館租賃合同
- 自行車店防火門安裝協(xié)議
- 農(nóng)村自建房屋協(xié)議
- 限時優(yōu)惠促銷二手房買賣合同
- 旅游景區(qū)供水井施工合同
- 城市公交站設施安全合同樣本
- 快遞公司配送司機勞動合同
- 2025蛇年春節(jié)春聯(lián)對聯(lián)帶橫批(276副)
- 2025年中學德育工作計劃
- 2024年專業(yè)會務服務供應與采購協(xié)議版B版
- 中國上市公司ESG行動報告
- 早產(chǎn)臨床防治指南(2024版)解讀
- 《電子煙知識培訓》課件
- GB/T 30661.10-2024輪椅車座椅第10部分:體位支撐裝置的阻燃性要求和試驗方法
- 馬克思主義中國化進程與青年學生使命擔當Ⅱ?qū)W習通超星期末考試答案章節(jié)答案2024年
- 自動化生產(chǎn)線設備調(diào)試方案
- 大數(shù)據(jù)+治理智慧樹知到期末考試答案章節(jié)答案2024年廣州大學
- 江蘇省建筑與裝飾工程計價定額(2014)電子表格版
評論
0/150
提交評論