版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、基于QUARTERS的巴克碼信號發(fā)生器摘要本文利用QUARTERS設(shè)計了一個8位的巴克碼信號發(fā)生器,該發(fā)生器具有單次和連續(xù)輸出功能。巴克碼主要用于通信系統(tǒng)中的幀同步,其特點是具有尖銳的自相關(guān)函數(shù),便于與隨機的數(shù)字信息相區(qū)別,易于識別,出現(xiàn)偽同步的可能性小。巴克碼是一種具有特殊規(guī)律的二進制碼組,它是一種非周期序列1。關(guān)鍵詞:QUARTERS,巴克碼,信號發(fā)生器,序列AbstractQUARTERS designed a 8-bit Barker code signal generator, the generator has a single and continuous output. Bar
2、ker Code is mainly used in communication systems frame synchronization, which is characterized with a sharp auto-correlation function for the digital information and the random Differentiated, easily identifiable, theres the possibility of pseudo-synchronous small. Barker Code is a law of a special
3、group of binary code, it is a non-periodic sequence.Key words: QUARTERS , Barker code, signal generator,sequence緒論在通信系統(tǒng)中,同步技術(shù)起著相當重要的作用。通信系統(tǒng)能否有效地、可靠地工作,很大程度上依賴于有無良好的同步系統(tǒng)。通信系統(tǒng)中的同步可分為載波同步、位同步、幀同步等幾大類。當采用同步解調(diào)或相干檢測時,接收端需要提供一個與發(fā)射端調(diào)制載波同頻同相的相干載波,獲得此相干載波的過程稱為載波提取,或稱為載波同步。而在數(shù)字通信中,消息是一串連續(xù)的信號碼元序列,解調(diào)時常須知道每個碼元的起止
4、時刻。因此,就要求接收端必須能產(chǎn)生一個用作定時的脈沖序列,以便與接收的每一個碼元的起止時刻一一對齊。在接收端產(chǎn)生與接收碼元的重復(fù)頻率和相位一致的定時脈沖序列的過程稱為碼元同步或位同步。數(shù)字通信中的消息數(shù)字流總是用若干碼元組成一個“字”,又用若干“字”組成一“句”。因此,在接收這些數(shù)字流時,同樣也必須知道這些“字”、“句”的起止時刻,在接收端產(chǎn)生與“字”、“句”起止時刻相一致的定時脈沖序列,統(tǒng)稱為群同步或幀同步。當通信是在兩點之間進行時,完成了載波同步、位同步和幀同步之后,接收端不僅獲得了相干載波,而且通信雙方的時標關(guān)系也解決了,這時,接收端就能以較低的錯誤概率恢復(fù)出數(shù)字信息。在數(shù)字通信系統(tǒng)中,
5、實現(xiàn)幀同步的方法通常有兩種:起止式同步法和集中式插入同步法。起止式同步比較簡單,一般是在數(shù)據(jù)碼元的開始和結(jié)束位置加入特定的起始和停止脈沖來表示數(shù)據(jù)幀的開始和結(jié)束。另外在計算機RS232串口通信中通常也使用類似方法。而在集中式插入同步法中,要求插入的同步碼在接收端進行同步識別時出現(xiàn)偽同步的概率盡可能小,并且要求該碼組具有尖銳的自相關(guān)函數(shù)以便于識別。同時接收機端的同步碼識別器要盡量簡單。目前用得比較廣泛的是性能良好的巴克(Barker)碼2。1 巴克碼簡介1.1巴克碼簡介巴克碼主要用于通信系統(tǒng)中的幀同步,其特點是具有尖銳的自相關(guān)函數(shù),便于與隨機的數(shù)字信息相區(qū)別,易于識別,出現(xiàn)偽同步的可能性小。巴克
6、碼是一種具有特殊規(guī)律的二進制碼組,它是一種非周期序列。一個n位的巴克碼組為 , :, ,. ,其中 的取值是+1或一1,其局部自相關(guān)函數(shù)為:目前已發(fā)現(xiàn)的所有巴克碼組如表1:表1 已發(fā)現(xiàn)的巴克碼組其中,“+”表示取值為高電平1,“一”表示取值為低電平0。依要求及上面的巴克碼組可知,8位的巴克碼發(fā)生器應(yīng)在輸入時鐘信號作用下依次產(chǎn)生“01110010”的碼元序列。1.2 系統(tǒng)設(shè)計框圖巴克碼發(fā)生器巴克瑪發(fā)生器設(shè)計原理如圖1.2所示。圖1.1 巴克碼發(fā)生器設(shè)計原理圖2 Quarters 設(shè)計軟件介紹Quarters 是Altera公司推出的新一代開發(fā)軟件,適合于大規(guī)模邏輯電路設(shè)計,是Altera公司的第
7、4代可編程邏輯器件集成開發(fā)環(huán)境,提供了從設(shè)計輸入到器件編程的全部功能,與Max+plus 相比,Quarters 設(shè)計軟件增加了網(wǎng)絡(luò)編輯功能,提升了調(diào)試能力,解決了潛在的設(shè)計延遲,同時其強大的設(shè)計能力和直觀易用的接口,受到數(shù)字系統(tǒng)設(shè)計者的普遍歡迎。Quarters 開發(fā)系統(tǒng)具有以下主要特點:Quarters 可以產(chǎn)生并識別EDIF網(wǎng)表文件、VHDL網(wǎng)表文件和Verilog HDL網(wǎng)表文件,為第三方EDA工具提供了方便的接口。Quarters 支持一個工作組環(huán)境下的設(shè)計要求,包括支持基于Internet的協(xié)作設(shè)計,與Cadence,ExemplarLogic,MentorGraphics,Syn
8、opsys和Synplicity等EDA供應(yīng)商的開發(fā)工具相兼容。Quarters 作為一種設(shè)計環(huán)境,除支持Altera的APEX 20KE,APEX 20KC,APEX,ARM的Excalibur嵌入式處理器方案,Mercury,FLEX10KE和ACEX1K之外,還支持MAX3000A和MAX7000系列乘積項器件。 Quarters 增加了一個新的快速適配編譯選項,可縮短50%的編譯時間。快速適配功能保留了最佳性能的設(shè)置,加快了編譯過程,編譯速度更快,對設(shè)計性能的影響最小3。Quarters 設(shè)計軟件界面如圖4-1所示。圖2.1 Quarters 設(shè)計軟件界面3基于QUARTERS電路設(shè)計
9、與實現(xiàn)31 芯片的選擇使用Altera公司的QUARTERS系列可編程邏輯器件中的EPM7128SLC8410,這種可編程邏輯器件是由簡單的PAL、GAL器件發(fā)展而來,只是結(jié)構(gòu)更復(fù)雜,功能更強大而已。從最初的電路設(shè)計思想到QUARTERS的波形仿真,再到QUARTERS芯片編程結(jié)束要經(jīng)過的一般開發(fā)流程如圖5所示:圖3.1 開發(fā)流程圖32 硬件描述語言輸入先啟動QUARTERS主界面。用戶通常用以下幾種方式描述自己的設(shè)計思想:原理圖輸入、硬件描述語言輸入(VHDL)、波形設(shè)計輸入、層次設(shè)計輸入和底層設(shè)計輸入。采用VHDL程序設(shè)計。巴克碼發(fā)生器4library ieee;use ieee.std_
10、logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity bakema is port(clk,en,reset:in std_logic; dout:out std_logic);end entity ;architecture behave of bakema is signal count8:integer range 0 to 7;begin process(clk,reset) begin if reset=1 then count8=0; elsif clkevent and
11、 clk=1 then if en=1 then if count87 then count8=count8+1; else count8doutdoutdoutdoutdoutdoutdoutdoutdout=0; end case;end process;end behave;3.3 編譯與仿真3.3.1Quarters 編譯(1)在主選單中選擇ProcessingStart Compilation選項對所設(shè)置的項目進行編譯,過程如圖3.2所示。(2)閱讀編譯報告。如圖3.3所示。圖3.2 編譯過程圖3.3 編譯報告3.3.2、Quarters 仿真(1)建立仿真波形文件。在主選單中選擇F
12、ileNew選項或單擊工具欄上的圖標,在彈出的New對話框中選擇Other File選項中的Vector Waveform File,如圖4-9所示。(2)調(diào)入相關(guān)端口。在Name空白處雙擊,出現(xiàn)如圖3.4所示,按Node Finder后,點List,出現(xiàn)如圖3.5所示,將所需的端口調(diào)入,點OK后回到如土3.4所示,再點OK即可。(3)設(shè)置相關(guān)參數(shù)。在主選單中選擇EditEnd Time選項設(shè)置好參數(shù),如圖3.6所示。再根據(jù)需要設(shè)置Name下的輸入?yún)?shù)。然后保存在相關(guān)目錄下。(4)執(zhí)行仿真。在主選單中選擇ProcessingStart Simulator選項或單擊工具欄上的圖標對所設(shè)置的項目進行仿真,仿真過程如圖3.8所示。仿真結(jié)束后可對輸入和輸出波形進行分析,同時將彈出仿真報告來顯示有關(guān)的仿真信息5。圖3.4 建立仿真波形文件圖3.5 相關(guān)端口圖3.6 所需端口調(diào)入圖3.7設(shè)置相關(guān)參數(shù)圖3.8 仿真過程圖3.9 仿真結(jié)果4結(jié)束語大規(guī)模可編程邏輯器件QUARTERS與中小規(guī)模的標準器件相比,其工作速度快,集成度高,功耗低,適應(yīng)性強。因此QUARTERS技術(shù)已經(jīng)越來越受到廣大電子設(shè)計人員的普遍歡迎,已經(jīng)成為設(shè)計和實現(xiàn)數(shù)字系統(tǒng)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 運輸行政管理課程設(shè)計
- 二零二五年綠色環(huán)保刮瓷施工技術(shù)合作協(xié)議2篇
- 2025年度高端消防工程設(shè)計合同范本3篇
- 2025年度個人貸款合同補充協(xié)議(抵押物變更)4篇
- 《中醫(yī)養(yǎng)生學輔助》課件
- 2025年度商業(yè)樓宇窗簾設(shè)計安裝一體化合同范本4篇
- 二零二五版智慧園區(qū)物業(yè)服務(wù)與產(chǎn)業(yè)孵化協(xié)議3篇
- 2025年離婚協(xié)議書:無孩子家庭財產(chǎn)分割與子女撫養(yǎng)權(quán)明確合同6篇
- 針對二零二五年度買賣合同的產(chǎn)品質(zhì)量爭議解決辦法3篇
- 2025版旅游線路開發(fā)與運營合同4篇
- 河北省大學生調(diào)研河北社會調(diào)查活動項目申請書
- GB/T 20920-2007電子水平儀
- 如何提高教師的課程領(lǐng)導(dǎo)力
- 企業(yè)人員組織結(jié)構(gòu)圖
- 日本疾病診斷分組(DPC)定額支付方式課件
- 兩段焙燒除砷技術(shù)簡介 - 文字版(1)(2)課件
- 實習證明模板免費下載【8篇】
- 復(fù)旦大學用經(jīng)濟學智慧解讀中國課件03用大歷史觀看中國社會轉(zhuǎn)型
- 案件受理登記表模版
- 2022年浙江省嘉興市中考數(shù)學試題(Word版)
- 最新焊接工藝評定表格
評論
0/150
提交評論